自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Augusdi的专栏

攀登技术的高峰,我与大家共勉!

  • 博客(4272)
  • 资源 (5899)
  • 收藏
  • 关注

转载 数字后端流程

数字后端流程1. 数据准备。对于 CDN 的 Silicon Ensemble而言后端设计所需的数据主要有是Foundry厂提供的标准单元、宏单元和I/O Pad的库文件,它包括物理库、时序库及网表库,分别以.lef、.tlf和.v的形式给出。前端的芯片设计经过综合后生成的门级网表,具有时序约束和时钟定义的脚本文件和由此产生的.gcf约束文件以及定义电源Pad的DEF(Design Exc...

2020-03-28 11:47:05 1478

转载 ASIC开发流程介绍

1.使用语言:VHDL/verilog HDL2.各阶段典型软件介绍:输入工具: Summit Summit 公司仿真工具: VCS, VSS Synopsys 公司综合器: DesignCompile, BC Compile Synopsys 公司布局布线工具: Dracula, Diva Cadence 公司静态时序分析: Prime Time Synopsys 公司测...

2020-03-27 21:41:48 1804

翻译 数字电路设计入门(fpga/asic)

直接说正题,帮助一下刚刚入门的朋友们,也算是学习IC设计的一个总结吧。 一、首先要知道自己在干什么? 数字电路(fpga/asic)设计就是逻辑电路的实现,这样子说太窄了,因为asic还有不少是模拟的,呵呵。我们这里只讨论数字电路设计。实际上就是如何把我们从课堂上学到的逻辑电路使用原理图(很少有人用这个拉),或者硬件描述语言(Verilog/VHDL)来实现,或许...

2020-03-27 21:31:06 6811

转载 电压比较器,电压比较器原理

电压比较器,电压比较器原理电压比较器的基本功能是能对两个输入电压的大小进行比较,判断出其中哪一个比较大。比较的结果用输出电压的高和低来表示。电压比较器可以采用专用的集成比较器,也可以采用运算放大器组成。由集成运算放大器组成的比较器,其输出电平在最大输出电压的正极限值和负极限值之间摆动,当要和数字电路相连接时,必须增添附加电路,对它的输出电压采取箝位措施,使它的高低输出电平,满足数字电路逻辑电平...

2020-03-27 21:24:14 24227

转载 可编程逻辑器件与专用集成电路——《Verilog 与数字ASIC设计基础》读书笔记

早期电子系统硬件设计采用分立元件,随着集成电路的出现和应用,人们选用功能固定的标准集成电路(例如各种逻辑门,编码器,译码器,触发器,和计数器等)构成硬件系统,后来,又以微处理器为核心构成系统,现在则广泛采用专用集成电路(ASIC)来构成系统,一个复杂的数字系统只要一片或则是几片ASIC即可实现。 制作ASIC的方法大致分为两种,一种是掩膜处理方法(掩膜处理是IC的一种制...

2020-03-27 21:21:11 2249

转载 IC设计流程

IC设计流程今天彻底无语了,一个学弟问我,从Schematic到GDSⅡ的流程是什么,我竟然答之,仿真、综合、布局布线……事后,觉得不太对,查了一下资料,那里是不太对啊,简直是一点都不对,暴寒啊,也许是自己真是好久没做IC方面的东西了。一般的IC设计流程可以分为两大类:全定制和半定制,这里我换一种方式来说明。    1.1从RTL到GDSⅡ的设计流程:...

2020-03-27 21:18:33 1422

转载 NMOS和PMOS

【转】NMOS和PMOSNMOS和PMOS(2011-02-10 16:16:25)转载▼标签: nmos pmos 开关 驱动 杂谈 分类:电路基础 在实际项目中,我们基本都用增强型mos管,分为N沟道和P沟道两种。我们常用的是NMOS,因为其导通电阻小,且容易制...

2020-03-27 21:12:07 1104

转载 数字IC设计经典书籍

数字IC设计经典书籍学习数字IC设计一段时间,总结一下自己学习期间遇到的比较好的书籍,希望能给大家一个指导和借鉴,同时也希望大家相互学习交流。1《Verilog HDL高级数字设计》 中文版和原著。这本书本人以为是讲Verilog方面的最好的一本书,看完此书后,相信大家的code水平会有很大提高。书中例子及其丰富,涵盖了RISC、UART、异步FIFO、数字信号处理、乘法...

2020-03-27 20:55:37 1957

转载 单口RAM、伪双口RAM、双口RAM与FIFO的区别

单口RAM、伪双口RAM、双口RAM与FIFO的区别 FPGA设计中,常用到的数据缓存IP有FIFO和RAM,其中RAM又分单口RAM、伪双口RAM、双口RAM。 单口与双口的区别在于,单口只有一组数据线与地址线,因此读写不能同时进行。而双口有两组数据线与地址线,读写可同时进行。FIFO读写可同时进行,可以看作是双口。 双口RAM分伪双口RAM(Xil...

2020-03-27 20:53:40 1064

转载 IC设计工程师发展方向探究

IC设计工程师发展方向探究大家有没有想过,假设十年为一个阶段,当自己30岁、40岁、50岁、60岁的时候,自己会在哪里生活,北京、上海、深圳?又会是在从事什么样的工作?IC设计大体可以分为三个方向,RFIC,analog IC,digital IC,依此展开------------------------------------------------------------------...

2020-03-27 20:50:57 1210

转载 芯片设计流程概述

芯片设计流程概述芯片设计分为前端设计和后端设计,前端设计(也称逻辑设计)和后端设计(也称物理设计)并没有统一严格的界限,涉及到与工艺有关的设计就是后端设计。1. 规格制定芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设计要求,包括芯片需要达到的具体功能和性能方面的要求。2. 详细设计Fabless根据客户提出的规格要求,拿出设计解决方...

2020-03-27 20:47:30 5380

转载 OASIS Scan

转存失败重新上传取消 Oas2Ascii is a light weight utility that reads an OASIS file sequentially and translates it's contents one record at a time into ascii text. The most likely use for this utility is a...

2020-03-26 23:53:49 907

翻译 OwlVision GDSII Viewer - GDSII Files

OwlVision GDSII Viewer- GDSII FilesGDSII files Inverter XOR gate Example Layouts for Boolean Operations Image of Layout ASCII GDSII 转存失败重新上传取消...

2020-03-26 23:52:50 1271

转载 Look Inside GDSII

Look Inside GDSIIIn an attempt to address that helpless feeling that comes when a GDSII file will sometimes not work,a simple CPP program has been written to be able to look inside and experiment w...

2020-03-26 23:51:59 935

转载 说说芯片设计这点事

说说芯片设计这点事 芯片设计这个行当 ,从大的方面讲,主要分模拟和数字两大块, 而每大块又分前端和后端, 我想大部分同学对这个肯定是非常清楚的, 下面就数字电路聊聊芯片设计的一些事情,就是芯片设计有哪些活做, 这并不是全面完整的系统介绍,只是个人的了解和总结, 希望抛砖引玉,也许不全面, 不正确, 欢迎同学们指正和补充 说到数字芯片,不能不说FPGA, 这种是可编程的数字电路, ...

2020-03-26 23:50:24 1073

转载 oasis文件中的数据类型

Chapter 1oasis文件简介oasis是用来表示光刻版图的一种文件格式,上面记录了光刻图案。作为gdsii文件的替代格式,和gdsii类似是一种流格式的文件。一般性的介绍可以google到。这里就不再累述。这篇文档,是对参考文献【1】的注释和部分翻译。第一为了方便阅读,对文献【1】中的一些概念和例子进行了解读说明;第二对文献【1】中容易忽略和混淆的地方进行了强调,对没有明确的但可以...

2020-03-26 23:49:44 1380 1

转载 OASIS文件中的数据类型

Chapter 1oasis文件简介oasis是用来表示光刻版图的一种文件格式,上面记录了光刻图案。作为gdsii文件的替代格式,和gdsii类似是一种流格式的文件。一般性的介绍可以google到。这里就不再累述。这篇文档,是对参考文献【1】的注释和部分翻译。第一为了方便阅读,对文献【1】中的一些概念和例子进行了解读说明;第二对文献【1】中容易忽略和混淆的地方进行了强调,对没有明确的但可以...

2020-03-26 23:48:03 2245 1

转载 Calma GDS II stream format (GDSII)

B.2 Calma GDS II stream format (GDSII)This description of GDS II format is taken from Appendix C ofComputer Aids for VLSI Designby Steven M. Rubin[204].In the design of integrated circuits, the...

2020-03-26 23:46:08 2145

转载 GDSII format

GDSII format INDEX introduction bachus nauer forms GDSII BNF Record header Data types record types overview record types description example fileexample 正在上传…重新上传取消text...

2020-03-26 23:43:44 2727

转载 IC知识大杂烩之什么是sign-off

IC知识大杂烩之什么是sign-offSignoff是IC设计中的一个重要的概念,他指的是成功完成IC设计的所有检查的一个标志。在ASCI设计中,有以下两次sign-off。1. 前仿真(功能仿真)在设计的电路进入布局布线前应检查其功能是否符合设计要求,这一仿真验证称之为第一次sign-off。2.后仿真(时序仿真)设计经过布局布线之后,使用EDA工具进行寄生参数提取,形成精确的pos...

2020-03-22 23:54:26 4033

转载 逻辑综合工具DC及操作流程

1、逻辑综合(Logic Synthesis)将寄存器传输级(RTL,Register Transfer Level)的hdl代码转换为与工艺相关的门级网表(Gate Level Netlist)的过程DC中的八种设计实体设计(Design):一种能完成一定逻辑功能的电路。设计中可以包含下一层子设计单元(Cell):设计中包含的子设计的实例参考(Reference):单元...

2020-03-22 23:52:57 6305 2

转载 Design Complier基本知识问答

Design Complier基本知识问答1.1 什么是DC? DC(Design Compiler)是Synopsys公司的logical synthesis工具,它根据design description和design constraints自动综合出一个优化了的门级电路。它可以接受多种输入格式,如HDL、Schematics、Netlist等,并能生成多种性能 report,...

2020-03-22 23:51:41 1318

转载 逻辑综合

4.1 逻辑综合概述4.1.1 逻辑综合的概念综合(synthesis):就是把思想转换为实现欲想功能的可制造的设计。综合是约束驱动 和基于路径的。在这里,综合也就是把行为级或 RTL 级的 HDL 描述转换为门级电路的过程,用公式表示 就是:综合等于 = 翻译 + 优化 + 映射( Synthesis = Transiation + Optimization + M...

2020-03-22 23:50:50 1999

转载 Design Compiler初体验

安装VCS之后,在装DC果然顺手很多啊!不过关于VCS的安装,还没有补给大家!尽快的!不过现在很想和Synopsys的其它软件安装一个补给大家!安装版本DC2009安装环境RED HAT5.0补充综合介绍综合:前端设计行为描述的电路、RTL级的电路转换到门级电路Design Compiler为Synopsys电路综合的核心工具,方便地将h...

2020-03-22 23:49:59 1138

转载 Design Compiler 综合

Design Compiler 综合综合(synthesis) = 转换(translation) + 优化(logic optimization) + 映射(gate mapping);转换阶段将HDL语言描述的电路用门级逻辑实现。对于DC使用gtech.db库中的门级单元来实现HDL语言描述的电路,得到初始的未优化的电路。优化与映射时综合工具对初始电路进行分析,去掉冗余单元。并根据约束...

2020-03-22 23:45:48 825

转载 SDF文件的用途

SDF文件的用途标准延迟格式(英语:Standard Delay Format, SDF)是电气电子工程师学会关于集成电路设计中时序描述的标准表达格式。在整个设计流程中,标准延迟格式有着重要的应用,例如静态时序分析和后仿真。SDF在设计中的作用:SDF in the design processBack-Annotation of Timing Data for Design Anal...

2020-03-22 23:44:08 3984

转载 Verilog设计中的锁存器

Verilog设计中的锁存器问题:什么是锁存器?什么时候出现锁存器?锁存器对电路有什么影响?如何在FPGA设计中避免锁存器?在FPGA设计中应该避免锁存器.实际上,锁存器与D触发器实现的逻辑功能基本相同,都有暂存数据的功能。但如果两者都由与非门搭建的话,锁存器耗用的逻辑资源要比D触发器少(D触发器需要12个MOS管,锁存器只需6个MOS管),锁存器的集成度更高。所以在...

2020-03-22 23:43:04 6100 1

转载 复位电路设计——利用PLL锁定信号(lock)产生复位信号

复位电路设计——利用PLL锁定信号(lock)产生复位信号利用PLL锁定信号(lock)产生复位信号在FPGA刚上电的时候,系统所需的时钟一般都要经过PLL倍频,在时钟锁定(即稳定输出)以前,整个系统应处于复位状态。因此,我们可以利用PLL的锁定信号来产生复位信号,具体代码实现和testbench如下。module sys_rst( input sys_clk, in...

2020-03-22 23:42:23 4178 1

转载 积分器-微分器-抽取器

积分器数字积分器也称为累加器,其作用就是对采样值求和累加。源代码;综合后RTL视图:波形功能仿真图:这个过程受到hold信号的控制,只有hold信号无效时才累加,当hold信号有效时保持当前的累加值。微分器微分器可以通过一个缓冲器和一个减法器实现,其中减法器也可以通过变成加法的形式实现。应用于检测采样值的变化幅度、对相位微分可以得到信号的频率等。源代码...

2020-03-22 23:41:48 2906 2

转载 Synopsys工具简介

Synopsys工具简介DC Ultra——Design Compiler的最高版本在Synopsys软件中完整的综合方案的核心是DC UltraTM,对所有设计而言它也是最好级别的综合平台。DC Ultra添加了全面的数据通路和时序优化技术,并通过工业界的反复证明。DC Ultra具有独特的优化技术,能满足今天设计的各种挑战。DC Ultra提供快速的具有先进水平的数据通路优化技术,能建...

2020-03-21 11:19:20 2543

转载 IC设计完整流程及工具

IC设计完整流程及工具IC的设计过程可分为两个部分,分别为:前端设计(也称逻辑设计)和后端设计(也称物理设计),这两个部分并没有统一严格的界限,凡涉及到与工艺有关的设计可称为后端设计。前端设计的主要流程:1、规格制定芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设计要求,包括芯片需要达到的具体功能和性能方面的要求。2、详细设计...

2020-03-21 11:12:30 1404

转载 说说芯片设计这点事

说说芯片设计这点事 芯片设计这个行当 ,从大的方面讲,主要分模拟和数字两大块, 而每大块又分前端和后端, 我想大部分同学对这个肯定是非常清楚的, 下面就数字电路聊聊芯片设计的一些事情,就是芯片设计有哪些活做, 这并不是全面完整的系统介绍,只是个人的了解和总结, 希望抛砖引玉,也许不全面, 不正确, 欢迎同学们指正和补充 说到数字芯片,不能不说FPGA, 这种是可编程的数字电路, ...

2020-03-21 10:32:01 1319

转载 关于IC设计的想法

关于IC设计的想法一、工具的使用 工欲善其事,必先利其器。我们做IC设计的需要掌握的工具:仿真(vcs、modelsim),综合工具(dc、QS、ISE),时序分析(pt、其他的)。以及后端的一些工具,比如astro。可以看到,这个synopsys公司一套工具都全了。那么,很多大学也许没有这一套的工具(记得当年的candence的软件居然没办法自动综合版图)。那么,如果你进公...

2020-03-21 10:27:43 832

转载 Verilog 参数化设计

Verilog 参数化设计 为了提高模块的重复利用,关键就在于避免硬编码(hard literal),使模块参数化。参数化建模的好处是可以使代码清晰,便于后续维护和修改。只需要修改参数,不用修改其他代码就可以适用于不同的环境中。具体的参数化建模方法有 3 种:`define 宏定义 parameter,localparam 模块参数化 `ifdef 等条件编译使用def...

2020-03-21 10:24:00 1173

转载 数字电路的设计验证

数字电路的设计验证设计验证一般有下列四个部分:(a) RTL仿真(RTL simulation):发生在RTL设计完成之后,主要是检查这个设计的功能是否符合预期,因此也被称为功能仿真(functional simulation),或是行为仿真(behavioral simulation).。所有综合等等后续动作,必须在这一步骤完成后(RTL Freeze),才能继续下去。在这一个阶段的仿真...

2020-03-21 10:22:22 2791

转载 功能覆盖率

功能覆盖率随着各种设计变得越来越复杂,采用受约束的随机测试方法(CRT)是对它们进行全面验证的唯一有效途径。无论是随机的还是定向的激励,都要使用覆盖率来度量测试进行的程度。覆盖率的类型覆盖率是衡量设计验证完成程度的一个通用词。随着测试逐步覆盖各种合理的组合,仿真过程会慢慢勾画出你的设计情况,覆盖率工具会在仿真过程中收集信息,然后进行后续处理并得倒覆盖率报告。通过覆盖率报告找出覆盖率盲区...

2020-03-21 10:15:06 1423

转载 回归测试

回归测试回归测试是软件测试的一种,旨在检验软件原有功能在修改后是否保持完整。回归测试是指修改了旧代码后,重新进行测试以确认修改没有引入新的错误或导致其他代码产生错误。自动回归测试将大幅降低系统测试、维护升级等阶段的成本。回归测试作为软件生命周期的一个组成部分,在整个软件测试过程中占有很大的工作量比重,软件开发的各个阶段都会进行多次回归测试。同样在芯片设计验证中,当设计RTL代码有了新的...

2020-03-21 10:14:00 1152

转载 UVM一个功能覆盖率的简单例子

一个功能覆盖率的简单例子功能覆盖率的类型在验证计划中编写test case时,需要编写功能覆盖率计划。一般而言,在验证环境中有4个地方可以编写coverage points.F1 : Functional coverage points are very near the randomizationF2 : Functional coverage points are sample...

2020-03-21 10:10:28 7018

转载 带隙基准(Bandgap,BG)

带隙基准(Bandgap,BG)Bandgap voltage reference,常常有人简单地称它为Bandgap。最经典的带隙基准是利用一个与温度成正比的电压与一个与温度成反比的电压之和,二者温度系数相互抵消,实现与温度无关的电压基准,约为1.25V。bandgap可为OSC 提供基准电压。参考文献:[1] bandgap的设计.http://www.eetop.cn/b...

2020-03-21 09:59:23 4526

转载 UVM验证方法学之一验证平台

UVM验证方法学之一验证平台在现代IC设计流程中,当设计人员根据设计规格说明书完成RTL代码之后,验证人员开始验证这些代码(通常称其为DUT,Design Under Test)。验证工作主要保证从设计规格说明书到RTL转变的正确性,它包括但不限于以下几点: DUT的行为表现是否与设计规格说明书中的要求一致 DUT是否实现了设计规格说明书中的所有功能 DUT对于异常状...

2020-03-20 23:29:48 4416

linux 视频教程PPT

linux 视频教程PPT

2015-01-17

CentOS.5系统管理

CentOS.5系统管理

2015-01-17

网络测试命令讲解

网络测试命令讲解

2015-01-17

Linux编辑器及VIM初步

Linux编辑器及VIM初步

2015-01-17

Linux下寻求帮助

Linux下寻求帮助

2015-01-17

在职教育3g学院-lamp经典入门29linux磁盘分区详解

在职教育3g学院-lamp经典入门29linux磁盘分区详解

2015-01-17

在职教育3g学院-lamp经典入门04linux目录结构介绍

在职教育3g学院-lamp经典入门04linux目录结构介绍

2015-01-17

Linux应用与发展

Linux应用与发展

2015-01-17

数据结构 C++ 语言描述(中文版)

数据结构 C++ 语言描述(中文版

2015-01-11

qt-win-opensource-src-4.5.2-msvc-6.0

qt-win-opensource-src-4.5.2-msvc-6.0

2014-09-08

qt-win-noncommercial-msvc-3.2.1

qt-win-noncommercial-msvc-3.2.1 qt-win-noncommercial-msvc-3.2.1

2014-09-08

KDE 2_Qt编程宝典

KDE 2_Qt编程宝典

2014-09-07

[CentOS.5系统管理].梁如军.丛日权.周涛.扫描版

[CentOS.5系统管理].梁如军.丛日权.周涛.扫描版

2014-09-07

openqrm_cloud_howto_deploying_physical_windows_systems_on_centos_5.5

openqrm_cloud_howto_deploying_physical_windows_systems_on_centos_5.5

2014-09-07

MBoo2014播放器

MBoo2014播放器

2014-09-07

数值分析与算法-徐士良著-随书源程序

数值分析与算法-徐士良著-随书源程序

2014-09-06

数据结构算法与应用-C++语言描述

数据结构算法与应用-C++语言描述

2014-08-15

[揭秘系列-离散数学]Discrete.Mathematics.Demystified

[揭秘系列-离散数学]Discrete.Mathematics.Demystified

2014-08-15

2013考研基础阶段测试题及答案-计算机-数据结构

2013考研基础阶段测试题及答案-计算机-数据结构

2014-08-15

数据结构 C++ 版 (第2版) 王红梅等 扫描版

[数据结构 C++ 版 (第2版)] 王红梅等 扫描版

2014-08-15

linux查找及压缩

linux查找及压缩

2015-07-19

精通正则表达式-第三版.(美)佛瑞德.扫描版

精通正则表达式-第三版.(美)佛瑞德.扫描版

2015-07-01

精通正则表达式-第3版-中文版.(美)Jeffrey.E.F.Friedl

精通正则表达式-第3版-中文版.(美)Jeffrey.E.F.Friedl

2015-07-01

普通人的编辑利器——VIM

普通人的编辑利器——VIM_(for_windows)

2015-03-11

VIM高级技巧

VIM高级技巧

2015-03-11

linux下vim使用详解(高清)

linux下vim使用详解(高清)

2015-03-11

vim使用教程

vim使用教程

2015-02-09

vim+ctags+cscope

vim+ctags+cscope

2015-02-09

Vim高效编辑的七个习惯

Vim高效编辑的七个习惯

2015-02-09

Vim编辑器 VIM

Vim编辑器

2015-02-09

Linux下VI的使用

Linux下VI的使用

2015-02-09

Vim文件编辑命令

Vim文件编辑命令

2015-02-09

linux下vi使用

linux下vi使用

2015-02-09

功耗分析攻击研究及抗功耗分析攻击密码芯片设计

功耗分析攻击研究及抗功耗分析攻击密码芯片设计

2015-02-07

从RTL到GDSII_基于CPF的完整低功耗设计流程

从RTL到GDSII_基于CPF的完整低功耗设计流程

2015-02-07

YAKSOC芯片的物理设计研究

YAKSOC芯片的物理设计研究

2015-02-07

CIF和GDSII格式版图数据的直接转换

CIF和GDSII格式版图数据的直接转换

2015-02-07

linux 视频教程-gcc

linux 视频教程-gcc

2015-01-19

Linux高级程序_进程管理

Linux高级程序_进程管理

2015-01-17

Linux命令类库机制及常用命令_Shell命令机制

Linux命令类库机制及常用命令_Shell命令机制

2015-01-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除