Verilog实现分频器

module pregate(clk,gateout);
input clk;     //输入标准时钟
output gateout;//输出预设闸门信号

reg gateout,gatebuf;
reg [31:0] cnt;//计数器
always @(posedge clk)
begin
//计数值越大,闸门时间越长
if(cnt==32'd50000000)
    begin
    gatebuf<=~gatebuf;
    gateout<=gatebuf;
    cnt<=32'd0;
    end
else
    begin
    cnt<=cnt+32'd1;
    end
end
endmodule

开发板内部晶振是50MHz,上述程序对内部晶振产生的时钟进行分频,每50000000个标准脉冲,输出电平翻转一次,最终得到周期2s的信号。

  • 3
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值