Verilog用于模块的测试

Verilog用于模块的测试

Verilog可以用来描述变化的测试信号,描述测试信号的变化和测试过程的模块也称为testbench。在这里,我写一个示例,大家能明白该怎么写了。

首先要写功能模块——二选一多路选择器。

代码如下:

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2017/03/24 11:02:14
// Design Name: 
// Module Name: muxtwo
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module muxtwo(out, a, b, s1
    );
    input a, b, s1;
    output out;
    reg out;
        always @(s1 or a or b)
            if(!s1) out = a;
                else out = b;
endmodule

模块muxtwo表示的是二选一选择器,输出跟控制信号s1有关。

  • 5
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值