自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Ruanyz_china的专栏

IT菜鸟在学习

  • 博客(30)
  • 资源 (15)
  • 收藏
  • 关注

原创 fpga状态机详解

什么是状态机:状态机通过不同的状态迁移来完成特定的逻辑操作状态机的分类:Moore型状态机和Mealy型状态机Moore型:状态机的变化只与当前的状态有关Mealy型:状态机的变化不仅与当前的状态有关,还与输入有关如何创建状态机:状态机的创建可以分为一段式,两段式和三段式一段式:主要是讲所有的状态变化以及导致的输出变化都写在了一个always快中。两段式:将一些复位信号,clk信号单独写在

2015-11-17 20:43:15 12915

原创 rtl 开发必会技能

解决方法: 在一个always 内控制多个信号,若2者存在一个时钟同时拉高的可能,先判断是否同时拉高,在分别判断。来写,存在epp_fin 拉高后mer_fin需要采集的信号被忽略。在一个always 内操作2个信号,若2者存在关联关系:例如epp_fin和mer_fin,如果使用if else。|data写法简单,但是漏写| 的可能,测试仿真器会检查data==1'b1。小端是数据发送的高位会存在内存高位地址,低位存在内存低位地址。字节序的高位对齐和低位对齐,大端(网络字节序)和小端。

2023-10-15 16:34:50 120

原创 连续相同idx 性能为4cycle、不同idx性能为2cycle

时序2: 在读发起的hazard0和hazard2时,回写上次的新数据,所以在3cycle 后的第二次rd 可获取最新值。时序3: 在读发起的hazard1和hazard3时,回写上次的新数据,所以在3cycle 后的第二次rd 可获取最新值。时序1 : 在读发起的hazard1时,回写上次的新数据,所以在3cycle 后的第二次rd 可获取最新值。mem_bypass技术的核心就是在下一次的读前,可以cover 上一次的写。② 当前idx 与上一个相同,严格4cycle的调度 ,级转换为如下时序。

2023-09-11 15:06:50 104

原创 free buffer memory

2K个节点仅需要开辟32bit*32bit , 4K个节点需要32bit*64bit资源,相较于使用fifo的方式可以资减少90%以上资源。例如,有2K 个节点可以被使用,则需要开辟2k*11bit的 资源,4K 需要4K*12bit的资源。随着节点个数的翻倍,开辟的资源成指数增长。每个周期至多有一个节点被申请使用, 被申请的节点从列表中删除,使用完的节点被重新放入节点队列,等待下次申请使用。bitmap将节点的地址映射为 {行地址,列地址},不管 节点个数多少,对于每个节点,仅占用1bit资源。

2023-09-04 16:33:27 101

原创 芯片设计-- mem_bypass

在设计前,芯片架构师会约定mem的读延时(比正常的mem的延时要大)。mem_bypass 的就是将rd_rd----rd_vld间存在的写信号对应的写数据锁存起来,在rd_vld 时与mem返回的o_rdata 做mux选择。如果期间存在写,则使用写数据(新数据),否则使用mem 自身返回的o_rdata。其可以保证逻辑在对同一个地址做读写操作时,保证读到的数据是最新值(当前新写入的值)。读写冲突的后果就是: 读出的数据不是当前的最新值,使用旧数据做运算后回写数据错误等一些列问题。

2023-08-31 14:43:54 246

原创 vhdl 函数

使用and_reduce() or_reduce 判断数据是否>0 在或者数据达到最大值zores(N)完成数据的高位或者地位补0not 对信号取反,类似Verilog的 ~int2slv(n,p),将十进制数据n转换为位宽p的std_logic_vector结构体的使用及结构体初值定义,这个在一个结构体体量很大时,有很大优势。无需像verilog一样定义一堆名字机构类似的reg,并且在仿真时观察更加直观type a is recordarray1 : std-logic_vecto.

2021-01-25 19:51:13 1752

原创 开发资料link汇总

Verilog XLchrome-extension://ikhdkkncnoglghljlkmcimlnlhkeamad/pdf-viewer/web/viewer.html?file=https%3A%2F%2Fwww.csd.uoc.gr%2F~hy225%2F13a%2Fauxiliary%2Fvloguser.pdf

2020-12-14 15:12:42 203

原创 第一个uvm的例子

第一个大概的 uvm 例子及相关坑首先讲讲vhdl的加法运算的坑使用vhdl写了一个两个数相加的module,signal定义为std_logic_vector类型,然后直接使用一个process根据valid的脉冲做加法运算。自己也注意到了加法、乘法等溢出问题,但是编译总是报左右位宽不匹配。然后才发现vhdl不允许vector类型数据直接相加,需要先做类 型转换。定义integer类型signal使用CONV_INTEGER(signal name)转换两个integer做自

2020-12-11 17:54:09 475

原创 fpga编辑器的选择和使用

有关notepad 和 UE 开发软件 常用 command 总结对于硬件开发人员而言,一个便捷的开发工具可以提升自己的编码效率。由于FPGA的使用者较少,加之alter和Xilinx自带的编辑界面太烂,例如没有全局查找选项、Xilinx的编辑界面每次操作完成需要刷新或者重新关闭文件再打开这些反认类操作,难以胜任一般的module开发。之前一直深谙notepad软件,由于其plungs可以支持verilog和vhdl还有多种主题,所以一直使用其作为编辑开发工具。但是最近开始使用UE。所以需要在此讲有关

2020-12-10 15:08:28 494

原创 基于FPGA的tcp、udp协议处理

一、常见网络传输协议1.TCPtcp(Transmission_Control_Protocol),传输控制协议。包含3次握手和4次挥手操作,由于存在主从间的交互。是一种稳定的数据传输通信协议。基于这些,其需要点对点的通信交互,不支持类似UDP的组播操作(一对多操作)。下图描述常见tcp建立链接和fin/close的流程下图解释tcp头的组成:主要有源、目的ip和port。sequence NO用于判断tcp间的连续性或者乱序重传,checksum用于校验在传输过程中数据内容是否丢失或者改变,保证

2020-11-12 16:01:15 1904

原创 解决启动vivado2017时,弹出”Error when launching vivado.bat: Launcher time out”

vivado 2017 启动错误

2020-08-15 10:28:46 1865

原创 视频聚焦问题

欢迎使用Markdown编辑器写博客本Markdown编辑器使用StackEdit修改而来,用它写博客,将会带来全新的体验哦:Markdown和扩展Markdown简洁的语法代码块高亮图片链接和图片上传LaTex数学公式UML序列图和流程图离线写博客导入导出Markdown文件丰富的快捷键快捷键加粗 Ctrl + B 斜体 Ctrl + I 引用 Ctrl

2016-10-29 13:14:49 488

原创 关于在fpga上进行目标检测、跟踪的设计

对于目标检测、跟踪一般的科研,主要是使用matalab,VS,VC6.0结合opencv(开源计算机视觉库)进行开发。主要是使用一下两个方法【通过论文总结】 一、 使用matalab,选定检测、识别的算法,弄懂算法的含义,添加自己的改进方案。编写matalab代码(主要是C、C++)。运用视频检测集,对算法的精确性、鲁棒性进评测,并与未改进的算法对比,突出自己提出算法的优势。 二、使用VC、VS

2016-10-16 19:09:21 10192

原创 树莓派Lamp的安装

树莓派没有硬盘,取而代之的是TF卡。只需一个电源一张TF卡即可启动树莓派。一、下载树莓派系统    树莓派官网下载地址: http://www.raspberrypi.org/downloads(可下载最新的 Raspbian 树莓派系统也可以在我们公司wiki上面下载我们配置过的系统)二、格式化SD卡插上 SD 卡到电脑,使用SDFormatter.exe软件格式化 SD 卡

2015-12-28 18:04:47 1896

原创 fpga分模块(简单的模块调用)

顶层模块模块:module structer(input clk,input rst,output led,output [2:0] led1);fash u1(.clk(clk),.rst_n(rst),.led_out(led));run u2(.clk(clk),.rst_n(rst),.led_out(led1));endmodule闪光灯模块:module fa

2015-10-18 17:05:41 17323

原创 fpga闪烁的led灯

module demo_led(clk,rst_n,led_out);input clk;input rst_n;output led_out; //reg rled_out;parameter time1 = 23'd5_000_000;//晶振为50hzreg [22:0] count;always @(posedge clk or negedge rst_n) if(!rst_n

2015-10-15 20:52:28 7077

原创 fpga简易流水灯

module led_fla( output [3:0] led_out, input clk, input rst_n, input key);parameter timer = 50_000_000;reg [26:0] count;reg [3:0] rled_out;reg flag ;always @(negedge rst_n or negedg

2015-10-15 20:43:15 7853

原创 springmvc相关问题及解决方法

问题:① 数据类型的转换、格式化 ② 数据校验 解决:①加入

2015-08-31 21:29:10 605

原创 基于SpringMVC的Restful风格的增删改查--②增加员工信息

思想:点击增加员工按钮 = 》进入form表单 =》用户填入数据,提交 =》 handler接收新的员工数据,保存 =》重定向至员工列表的页面(可以显示新增加的员工信息条目)主要流程: 一. (1) 填写数据的表单使用的是Spring的from (2) 怎么使用form : ① 增加taglib <%@ taglib prefix="form" uri="http

2015-08-18 16:56:27 1062

原创 基于SpringMVC的Restful风格的增删改查--④更新员工信息

基本思想:点击某一个员工的更新链接,进入Spring的from表单,回显该员工数据 =》 submit后,交给handler做save处理 =》重定向至员工列表界面注意的点:(1) 员工的lastName不可以被修改(需要使用modelattribute技术) (2) 需要将post请求转为PUT请求(需要借助HiddenHttpMethodFilter) 具体流程以及核心代码: (1) 链接

2015-08-18 16:39:03 3637 1

原创 基于SpringMVC的Restful风格的增删改查--③删除员工信息

基本思想:点击链接=》员工信息填写界面(使用Spring的form表单)=》表单提交,进入handler处理=》重定向至显示所有员工的界面具体步骤:(1) 表单的建立:①导入 spring 的 taglib :<%@ taglib prefix="form" uri="http://www.springframework.org/tags/form"%>

2015-08-17 18:34:33 3010

原创 基于SpringMVC的Restful风格的增删改查--①查找所有员工信息

项目前的准备:1.下载eclipse开放工具,我有的是ME,并且集成了SpringMVC开发的IDE,详见http://jingyan.baidu.com/article/1612d5005fd087e20f1eee10.html 2.jdk的配置,服务器tomcat的配置,语言的配置 开发项目: 1.新建web动态工程,注意要勾选web.xml文件。导入SpringMV

2015-08-16 22:40:38 3820

原创 hibernate配置

关于hibernate的配置》》》》》》》》加入log4j后更改配置文件可以不显示info.debug... 需要在控制台输出执行的sql语句,配置主配置文件,加上  true   false注意是true,不是ture,特此说明

2013-08-04 17:30:44 545

原创 hibernate 生成表

关于hibernate的使用,在看视频的时候,咯啊是是用User建的一个实体类,用来映射生成表的。可是,运行时老是报User关键字错误,这里申明一下,我用的是sql server2008的数据库。最后才发现原来User是我的数据库的关键字,事先自己无法理解数据库的为什么和User有关系,其实当我打开sql的权限的时候发现里面有一个用户的名字里包含了User,这就是包User错误的关键。

2013-08-04 13:05:21 812

原创 对于表单要求用户输入数据必须是日期解决办法

用到表单,添加一个表单元素,是text类型的,主要是用于用户输入用户的出生,为了能够保证数据的精确性,我们可以必须采取方法,限定用户输入的数据的格式,或者再去个的数据转化成我们想要的,规定的data的格式。可是我们对用户 的输入具有不可预知性,所以这一种方法难度很大,实现起来十分不方便。最后,觉得使用一个js,直接在用户的输入界面进行判断。直接确保用户是处的是我们想要的日期个格式,不就十分简单了吗

2013-07-18 15:34:56 1121

原创 web开发中文数据写入到数据库乱码问题

第一次开发一个小的项目,经验尚浅,每次测试数据库时,都是随便的输入几个英文字符就行了的,看看数据库中是否显示了,便当做完成任务了。可是由于项目的要求,注册时可以使用中文,当测试时,到数据库中查看居然乱码。曾经看传智的老贺讲web时,项目的结尾就是来探讨和学习这个乱码的问题。他提到过滤器的处理方法,可是自己学的不多,只会在在页面中加入字符编码的控制。现在谈谈我的这种麻烦的解决办法,希望对那些和我一样

2013-07-18 15:17:40 1374 1

原创 java连接sql

关于java连接数据库,就几个步奏,so  easy,下面会附上源码。1。开启你的sql,在sql设置了将端口这位1433,用sa和密码进行登录2。导入sqljdbd>.jar3。编写调用数据库的类dbhelper注意:》》》public final static String DRIVER = "com.microsoft.sqlserver.jdbc.SQLServer

2013-07-14 21:31:30 926

原创 java mvc

mvc,初次看十分复杂,不懂为什么要建那么多的包。现在回想,是十分的简单的。个人觉得建包的主要的作用是将项目的各个的部分细化,便于编程,便于程序的维护,便于调试。就像我们编写一个html页面一样,一上来我们就会在文件夹里建上style,inages,js这样的包一样。只要你知道一些基础的servlet知识,就很简单。关键步奏,写一个jsp页面(form表单,每一个表单需要一个那么属性,便于se

2013-07-14 20:58:10 917

原创 java md5加密

package com.test;import java.io.UnsupportedEncodingException;import java.security.MessageDigest;import java.security.NoSuchAlgorithmException;/** * 采用MD5加密解密 * @author tfq * @datetime 2011-1

2013-07-14 20:26:56 918

原创 关于div嵌套,不显示边框问题

最近做了一个项目,用到了div+css的知识,觉得对于这些自己是十分熟悉的。可是在调试div的边框的时候,总是弄不好,最后是自己的border属性弄错了。在jsp中的border属性可以跟多个值,注意:值之间不是赢   ‘,’分隔的,用空格键分隔的。 管理员管理 border :1px solid #CCC;"> 添加管理员 显示管理员列表

2013-07-14 20:16:24 4207

measshift自己注释的C代码

自己看看

2017-03-12

SpringMVC的crud实例

SpringMVC的crud实例

2015-08-19

vc-代码提示插件

vc-代码提示插件

2014-05-13

db2考试资源

db2考试资源

2014-03-10

it的一份简历 模版

简历

2013-11-03

java mvc

java mvc

2013-08-19

盐师sql考试

盐城师范学院信息学院sql考试试题精选!!!

2013-07-21

《锋利的jQuery》实例源码

《锋利的jQuery》实例源码,对学习《锋利的jQuery》的筒子们很有帮助的!!!

2013-07-21

mydate97日期控件

一个js做的日期选择控件,里面有两种,可以自定义选择

2013-07-18

java异常处理

6. 尚硅谷_佟刚_Java基础_异常处理

2013-06-29

计算机网络课件

计算机网络课件

2013-06-29

Win2003+DNS安装包

Win2003+DNS安装包

2013-06-29

计算机三级试题

提供资源,是给那些要考计算机三级的孩子的们备考用的!!

2013-03-14

C++上机指导

C++上机指导,主要是供大家学习交流的,可以指导大家上机的一些操作问题!

2013-01-11

c++ ATM项目系统

一个atm项目 是用c++语言编写的 主要实现一些取款机的基本功能

2013-01-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除