JavaScript中预加载图片及callback回调方法的使用。

转载出处: http://blog.csdn.net/numb1126/article/details/2998929


  1. <head>
  2. <script type="text/javascript">
  3. function loadImage(url, callback) {
  4.     var img = new Image(); //创建一个Image对象,实现图片的预下载
  5.     img.src = url;
  6.     
  7.     if (img.complete) { // 如果图片已经存在于浏览器缓存,直接调用回调函数
  8.         callback.call(img); 
  9.         return// 直接返回,不用再处理onload事件
  10.     }

  11.     img.onload = function () { //图片下载完毕时异步调用callback函数。
  12.         callback.call(img);//将回调函数的this替换为Image对象
  13.     };
  14. };
  15. //被回调的方法
  16. function imgLoaded(){
  17. alert(this.width);
  18. }
  19. </script>
  20. </head>
  21. <body>
  22. <input type="button" value="loadImage" οnclick="loadImag('xxx.jpg',imgLoaded)"/>
  23. </body>

 

这是一个完整的示例,不知道原作者是谁了- -!

我只负责解释一下,img.complete方法表示图片是否加载完成,如果已经被浏览器缓存,则返回true。而onload方法表示第1次加载此图片,浏览器之前没有缓存过,一般来说,第1次访问此网页,那么会触发onload事件,而以后就是触发conplete事件了。方法执行到img.onload或complete这行时,将暂停往下执行,等待图片加载,一但完成,则执行function(){}里的代码。直接写个callback,则表示执行οnclick="loadImag('xxx.jpg',imgLoaded)执行中第2个参数传过来的方法。而这个方法里用了this.width,那么这个this表示的本是function loadImage这个函数,并没有width属性,所以用callback.call(AAA)来将this替换为括号内的AAA。



  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在计算机编程中,SV(SystemVerilog)是一种硬件描述语言,用于设计和验证数字系统。SV中的回调函数是一种特殊的函数类型,它允许在特定事件发生时自动调用。 在SV中,回调函数通常与回调任务或回调接口一起使用回调函数用于在特定条件满足时执行某些操作或处理事件。以下是使用SV回调函数的一般步骤: 1. 定义回调函数:首先,您需要定义一个回调函数回调函数可以是模块内的本地函数或类内的成员函数。例如,您可以定义一个名为`myCallback`的回调函数。 2. 定义回调接口:如果您想在多个模块之间共享回调函数,可以使用回调接口。回调接口定义了包含回调函数方法。例如,您可以定义一个名为`myCallbackInterface`的接口,其中包含一个方法`myCallback()`。 3. 实现回调接口:在需要使用回调函数的模块中,您需要实现回调接口。这样,当特定事件发生时,回调函数将被自动调用。 4. 注册回调函数:在需要注册回调函数的地方,您需要创建一个实例并将其注册到相应的模块或接口中。这样,当事件发生时,系统将自动调用注册的回调函数。 下面是一个简单的示例,展示了如何使用SV回调函数: ```systemverilog interface myCallbackInterface; // 定义回调方法 pure virtual function void myCallback(); endinterface module myModule; // 实现回调接口 class myCallbackImpl implements myCallbackInterface; virtual function void myCallback(); $display("Callback function called"); endfunction endclass // 注册回调函数 initial begin // 创建回调接口实例 myCallbackImpl callbackInst = new(); // 注册回调函数 myCallbackInterface callbackIf; callbackIf = callbackInst; // 触发事件,自动调用回调函数 callbackIf.myCallback(); end endmodule ``` 在上面的示例中,`myModule`模块实现了`myCallbackInterface`接口,并在初始块中注册了回调函数。当事件触发时,将自动调用注册的回调函数。 请注意,以上示例仅为演示目的,实际计算机系统中的SV回调函数使用可能会更加复杂。具体的实现取决于您的应用程序需求和系统架构。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值