普通GPIO模拟SPI通信协议(软件SPI)

16 篇文章 2 订阅
5 篇文章 2 订阅

在工作中偶尔会遇到SPI不够用的情况,而我们又要去使用SPI通信协议,此时就需要我们自己去模拟SPI通信协议。我们知道SPI通信协议有四种模式,它们分别如下所示:
这里写图片描述
下面是我基于ATSAM4SD16B芯片在Atmel Studio上用普通GPIO模拟的SPI通信协议的代码:

#include "ioport.h"
#include "pio.h"
#include "delay.h"
#include "SAM4S_FSA.h"
#include <assert.h>

// Define 4 SPI pins
#define CS       IOPORT_CREATE_PIN(PIOA, 8)
#define SCLK     IOPORT_CREATE_PIN(PIOA, 7)
#define MOSI     IOPORT_CREATE_PIN(PIOA, 23)
#define MISO     IOPORT_CREATE_PIN(PIOA, 20)

#define SPIDelay  delay_us(1)

// Define SPI communication mode
typedef enum SPIMode
{
    Mode_1,   /* Clock Polarity is 0 and Clock Phase is 0 */
    Mode_2,   /* Clock Polarity is 0 and Clock Phase is 1 */
    Mode_3,   /* Clock Polarity is 1 and Clock Phase is 0 */
    Mode_4,   /* Clock Polarity is 1 and Clock Phase is 1 */
}SPIMode;

// Define SPI type
typedef enum SPIType
{
    SPIMaster,
    SPISlave,
}SPIType;

// Define SPI attribute
typedef struct SpiStruct
{
    unsigned int ui_CS;
    unsigned int ui_SCLK;
    unsigned int ui_MOSI;
    unsigned int ui_MISO;
    SPIMode spiMode;
    SPIType spiType;
}Spi_t;

// Function prototypes
void v_SPIInitSimulate(Spi_t* p_Spi);
void v_CSIsEnableSimulate(Spi_t* p_Spi, int i_IsEnable);
void v_SPIWriteSimulate(Spi_t* p_Spi, unsigned char* puc_Data, int i_DataLength);
void v_SPIReadSimulate(Spi_t* p_Spi, unsigned char* puc_Data, int i_DataLength);

// Define SPI pins
Spi_t Spi_0 = 
{
    .ui_CS = CS,
    .ui_SCLK = SCLK,
    .ui_MOSI = MOSI,
    .ui_MISO = MISO,
    .spiMode = Mode_1,
    .spiType = SPIMaster,
};

/*
Brief: SPI protocol initiate
Input: p_Spi, which spi use
Output: None
Return: None
Author: Andy Lai
*/
void v_SPIInitSimulate(Spi_t* p_Spi)
{
    assert(p_Spi != NULL);

    if(p_Spi->spiMode == SPIMaster)
    {
        ioport_set_pin_dir(p_Spi->ui_CS, IOPORT_DIR_OUTPUT);
        ioport_set_pin_dir(p_Spi->ui_SCLK, IOPORT_DIR_OUTPUT);
        ioport_set_pin_dir(p_Spi->ui_MOSI, IOPORT_DIR_OUTPUT);
        ioport_set_pin_dir(p_Spi->ui_MISO, IOPORT_DIR_INPUT);
    }
    else
    {
        ioport_set_pin_dir(p_Spi->ui_CS, IOPORT_DIR_INPUT);
        ioport_set_pin_dir(p_Spi->ui_SCLK, IOPORT_DIR_INPUT);
        ioport_set_pin_dir(p_Spi->ui_MOSI, IOPORT_DIR_INPUT);
        ioport_set_pin_dir(p_Spi->ui_MISO, IOPORT_DIR_OUTPUT);
    }

    pio_set_pin_high(p_Spi->ui_CS);
    switch(p_Spi->spiMode)
    {
    case Mode_1:
    case Mode_2:
        pio_set_pin_low(p_Spi->ui_SCLK);
        break;
    case Mode_3:
    case Mode_4:
        pio_set_pin_high(p_Spi->ui_SCLK);
        break;
    }
}

/*
Brief: CS low level signal enable and high level signal disable
Input: (1)p_Spi, which spi use
       (2)i_IsEnable, Chip select(Slave select) enable flag
Output: None
Return: None
Author: Andy Lai
*/
void v_CSIsEnableSimulate(Spi_t* p_Spi, int i_IsEnable)
{
    assert(p_Spi != NULL);

    if(i_IsEnable)
    {
        pio_set_pin_low(p_Spi->ui_CS);
    }
    else
    {
        pio_set_pin_high(p_Spi->ui_CS);
    }
}

/*
Brief: Use SPI to write a byte data
Input: (1)p_Spi, which spi use
       (2)uc_Bt, write byte data
Output: None
Return: None
Author: Andy Lai
*/
static void v_SPIWriteByte(Spi_t* p_Spi, unsigned char uc_Bt)
{
    int i = 0;

    assert(p_Spi != NULL);

    switch(p_Spi->spiMode)
    {
    case Mode_1: /* Clock Polarity is 0 and Clock Phase is 0 */
        pio_set_pin_low(p_Spi->ui_SCLK);
        for(i = 7; i >= 0; i--)
        {
            pio_set_pin_low(p_Spi->ui_SCLK);
            SPIDelay;
            pio_set_pin_high(p_Spi->ui_SCLK);
            if(uc_Bt & (1 << i))
            {
                pio_set_pin_high(p_Spi->ui_MOSI);
            }
            else
            {
                pio_set_pin_low(p_Spi->ui_MOSI);
            }
            SPIDelay;
        }
        pio_set_pin_low(p_Spi->ui_SCLK);
        break;

    case Mode_2: /* Clock Polarity is 0 and Clock Phase is 1 */
        pio_set_pin_low(p_Spi->ui_SCLK);
        for(i = 7; i >= 0; i--)
        {
            pio_set_pin_high(p_Spi->ui_SCLK);
            if(uc_Bt & (1 << i))
            {
                pio_set_pin_high(p_Spi->ui_MOSI);
            }
            else
            {
                pio_set_pin_low(p_Spi->ui_MOSI);
            }
            SPIDelay;
            pio_set_pin_low(p_Spi->ui_SCLK);
            SPIDelay;
        }
        pio_set_pin_low(p_Spi->ui_SCLK);
        break;

    case Mode_3: /* Clock Polarity is 1 and Clock Phase is 0 */
        pio_set_pin_high(p_Spi->ui_SCLK);
        for(i = 7; i >= 0; i--)
        {
            pio_set_pin_high(p_Spi->ui_SCLK);
            if(uc_Bt & (1 << i))
            {
                pio_set_pin_high(p_Spi->ui_MOSI);
            }
            else
            {
                pio_set_pin_low(p_Spi->ui_MOSI);
            }
            SPIDelay;
            pio_set_pin_low(p_Spi->ui_SCLK);
            SPIDelay;
        }
        pio_set_pin_high(p_Spi->ui_SCLK);
        break;

    case Mode_4: /* Clock Polarity is 1 and Clock Phase is 1 */
        pio_set_pin_high(p_Spi->ui_SCLK);
        for(i = 7; i >= 0; i--)
        {
            pio_set_pin_low(p_Spi->ui_SCLK);
            if(uc_Bt & (1 << i))
            {
                pio_set_pin_high(p_Spi->ui_MOSI);
            }
            else
            {
                pio_set_pin_low(p_Spi->ui_MOSI);
            }
            SPIDelay;
            pio_set_pin_high(p_Spi->ui_SCLK);
            SPIDelay;
        }
        pio_set_pin_high(p_Spi->ui_SCLK);
        break;

    default:
        break;
    }
}

/*
Brief: Use SPI protocol to write data
Input: (1)p_Spi, which spi use
       (2)puc_Data, write data string
       (3)i_DataLength, write data length
Output: None
Return: None
Author: Andy Lai
*/
void v_SPIWriteSimulate(Spi_t* p_Spi, unsigned char* puc_Data, int i_DataLength)
{
    int i = 0;

    assert(p_Spi != NULL);
    assert(puc_Data != NULL);
    assert(i_DataLength > 0);

    v_CSIsEnableSimulate(p_Spi, 1);
    delay_us(8);

    // Write data
    for(i = 0; i < i_DataLength; i++)
    {
        v_SPIWriteByte(p_Spi, puc_Data[i]);
    }

    delay_us(8);
    v_CSIsEnableSimulate(p_Spi, 0);
}

/*
Brief: Read a byte data from SPI
Input: p_Spi, which spi use
Output: None
Return: Read data
Author: Andy Lai
*/
static unsigned char uc_SPIReadByte(Spi_t* p_Spi)
{
    int i = 0;
    unsigned char uc_ReadData = 0;

    assert(p_Spi != NULL);

    switch(p_Spi->spiMode)
    {
    case Mode_1: /* Clock Polarity is 0 and Clock Phase is 0 */
        pio_set_pin_low(p_Spi->ui_SCLK);
        for(i = 0; i < 8; i++)
        {
            pio_set_pin_low(p_Spi->ui_SCLK);
            SPIDelay;
            pio_set_pin_high(p_Spi->ui_SCLK);
            uc_ReadData = uc_ReadData << 1;
            uc_ReadData |= pio_get_pin_value(p_Spi->ui_MISO);
            SPIDelay;
        }
        pio_set_pin_low(p_Spi->ui_SCLK);
        break;

    case Mode_2: /* Clock Polarity is 0 and Clock Phase is 1 */
        pio_set_pin_low(p_Spi->ui_SCLK);
        for(i = 0; i < 8; i++)
        {
            pio_set_pin_high(p_Spi->ui_SCLK);
            SPIDelay;
            pio_set_pin_low(p_Spi->ui_SCLK);
            uc_ReadData = uc_ReadData << 1;
            uc_ReadData |= pio_get_pin_value(p_Spi->ui_MISO);
            SPIDelay;
        }
        pio_set_pin_low(p_Spi->ui_SCLK);
        break;

    case Mode_3: /* Clock Polarity is 1 and Clock Phase is 0 */
        pio_set_pin_high(p_Spi->ui_SCLK);
        for(i = 0; i < 8; i++)
        {
            pio_set_pin_high(p_Spi->ui_SCLK);
            SPIDelay;
            pio_set_pin_low(p_Spi->ui_SCLK);
            uc_ReadData = uc_ReadData << 1;
            uc_ReadData |= pio_get_pin_value(p_Spi->ui_MISO);
            SPIDelay;
        }
        pio_set_pin_high(p_Spi->ui_SCLK);
        break;

    case Mode_4:  /* Clock Polarity is 1 and Clock Phase is 1 */
        pio_set_pin_high(p_Spi->ui_SCLK);
        for(i = 0; i < 8; i++)
        {
            pio_set_pin_low(p_Spi->ui_SCLK);
            SPIDelay;
            pio_set_pin_high(p_Spi->ui_SCLK);
            uc_ReadData = uc_ReadData << 1;
            uc_ReadData |= pio_get_pin_value(p_Spi->ui_MISO);
            SPIDelay;
        }
        pio_set_pin_high(p_Spi->ui_SCLK);
        break;

    default:
        break;
    }

    return uc_ReadData;
}

/*
Brief: Use SPI to read data
Input: (1)p_Spi, which SPI use;    
       (2)i_DataLength, the length of data that need to read
Output: puc_Data, need to get data
Return: None
Author: Andy Lai
*/
void v_SPIReadSimulate(Spi_t* p_Spi, unsigned char* puc_Data, int i_DataLength)
{
    int i = 0;

    assert(p_Spi != NULL);
    assert(i_DataLength > 0);

    v_CSIsEnableSimulate(p_Spi, 1);
    delay_us(8);

    // Read data
    for(i = 0; i < i_DataLength; i++)
    {
        puc_Data[i] = uc_SPIReadByte(p_Spi);
    }

    delay_us(8);
    v_CSIsEnableSimulate(p_Spi, 0);
}

参考博客:http://blog.csdn.net/yangzheng_yz/article/details/50470577

  • 23
    点赞
  • 131
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
JinXiu 0001是一个数据通信协议互转的设备,它支持RS232, USB, TCPIP, TCPUDP, I2C, SPI,CAN等协议的互转,同时支持用户通过自己控制GPIO模拟特定的波形,用户不需要对板子编程,只需要通过JinXiu提供的软件配置设备的运行时参数即可,控制GPIO时也不需要对板子编程,只需要在按协议通过RS232/USB/TCPIP等对某个GPIO进行控制即可。主芯片: STM32F103RBT6,最新的ARM V7核,主频72兆。网卡芯片: RTL8019。 可以用GPIO采集工业控制的数据,模拟NAND flash控制器,Nor flash控制器,SD卡控制器等。RS232的速率是0-115200bit每秒,USB是USB1.1,理论速度12兆bit每秒,实测速度4兆bit每秒,TCPIP承载在以太网上,以太网芯片是RTL8019,理论速度10兆bit每秒,实测速度9兆bit每秒。 TCPIP功能可以用来做服务器,也可以用来做客户端,当作为服务器时,上电启动后等待远程客户端连接。当作为客户端时上电会尝试连接远程服务器,如果连接失败延迟1s后会继续连接,直到连接成功,如果发生异常或者远程服务器断开连接,软件将会自动尝试重新建立连接直到连接成功。 JinXiu0001自带软件看门狗和硬件看门狗,如果程序发生异常,将在5s内重新启动,如果软件5s内不喂狗硬件将会重新启动。 用户可以通过HwInforCtl.exe来配置设备的运行参数。HwInforCtl.exe是JinXiu公司发布的专门配置JinXiu0001的软件。设备有两种运行模式,一种为正常模式(也称协议转换模式),一种为工厂模式,正常模式用来做协议互转,工厂模式用来配置设备的参数,工厂模式下才能单独操作GPIO,上电前把GPIOB5拉底将会进入工厂模式,上电前把GPIOB5悬空或拉高将会进入正常模式。 设备带有安全启动密钥管理机制,每个设备都有不同的密钥,如果启动时密钥匹配失败,那么将不能使用协议互转功能,每个设备都有自己独立的密钥,即使用户把别的已经设定密钥成功的设备的闪存内容全部读出来烧到新的设备上,新设备的密钥匹配依然会失败,这也就防止了软件被盗版。对每个设备必须通过JinXiu的HwInforCtl.exe的进行一次启动密钥的生成,这样设备才能正常工作。 JinXiu0001支持串口升级软件的功能,JinXiu会不定期发布软件来修订以前设计的错误,客户可以向JinXiu索取最新版软件,更新软件不会丢失已经配置好的密钥,所以不需要对设备重新生成密钥,JinXiu提供帮客户定制板级软件和PC端软件的服务,但这要另外协商。 JinXiu0001的原理图和PCB是免费的,用户可以跟JinXiu公司免费索取然后以其作参考设计自己的设备,每一个设备上的每一套软件都需要license,用户在生成启动密钥之前需要向JinXiu获取license。当然如果用户买的是JinXiu的硬件设备,出厂前密钥都已经被配置好了,不需要重新生成密钥。 JinXiu0001的USB自带USB驱动,接上USB线到个人PC后,电脑会多出一个”JinXiu”标识的CDROM,选择从其中安装驱动即可。 合作电话: 13636321722 hailang
GPIO模拟SPI是一种在树莓派或其他单片机中使用通用输入输出引脚来模拟SPI(串行外围设备接口)通信协议的方法。SPI是一种常用的串行通信协议,可以用于与各种外围设备(如传感器、显示屏、存储器等)进行数据交互。 要在树莓派中实现GPIO模拟SPI通信,首先需要通过软件创建SPI协议所需的时序。树莓派的GPIO引脚可以通过编程来实现读写操作,因此可以利用这些引脚来模拟SPI的时序。 具体实现步骤如下: 1. 首先,需要设置SPI引脚的模式,并确定引脚的输入输出方向。 2. 然后,可以通过编程实现SPI通信的时序,包括将数据发送给目标设备、接收目标设备返回的数据等。 3. 当需要发送数据时,可以将数据分别写入每个GPIO引脚,根据SPI协议,一般包括时钟线、数据线(MOSI)、数据输出线(MISO)和片选线(CS)。 4. 同样地,当需要接收数据时,可以通过读取GPIO引脚上的电平来获取目标设备返回的数据。 5. 在整个通信过程中,需要根据SPI协议规定的时序进行数据的发送和接收,以确保数据的正确传输。 需要注意的是,使用GPIO模拟SPI通信可能会面临一些性能上的限制,因为GPIO引脚的速度相对较慢。同时,在实际应用中,也要考虑到引脚的数目、通信距离等因素,以确保通信的可靠性和稳定性。 总的来说,通过GPIO模拟SPI通信可以在硬件资源有限的情况下实现与外围设备的串行数据交互,为嵌入式系统的开发提供了一种简单而经济的选择。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值