- 博客(5)
- 资源 (28)
- 收藏
- 关注
转载 IC Design综合工具--Synplify Pro的常用选项及命令
Synplify Pro是Synplicity公司(Synopsys公司于2008年收购了Synplicity公司, 其客户遍布于通讯、半导体、航空/航天、计算机和军事电子等诸多领域,如:Philips,Agilent,Cisco,Lockheed,GE,Siemens,Lucent,Ericsson,Huawei,ZTE,UTStarcom等。本人所在公司即其中之一。)的高性能FPGA综合工具,
2013-12-27 09:22:01 5093
原创 嵌入式 视频 名词 解释
HSYNC 是 水平同步信号。 它界定了视频帧每一行中(从左到友)有效视频的起始位置。 水平消隐为 电子枪从屏幕右侧 回归至下一行 左侧的时间间隔。VSYNC 是 垂直同步信号。 它定义了 一个新的视频图像的起始位置(从上到下)。垂直消隐为电子枪 从屏幕图像的右下角返回左上角所需的时间间隔。
2013-12-18 11:23:59 1585
原创 异步复位、同步释放
异步复位、同步释放always @ (posedge clk)rst_nr //现将异步复位信号用同步时钟打一拍 always @ (posedge clk or negedge rst_nr)if(!rst_nr) b else b always @ (posedge clk or negedge rst_nr) if(!rst_nr) c else c
2013-12-16 13:38:37 1437
原创 lattice 的 ddr_ip使用
首先 讲一下 if {[file exists work]} { file delete -force work }vlib workvmap work work#==== compilevlog -novopt +define+NO_DEBUG +define+ECP +define+ECP2 \-y ../../../models/ecp3 +libext+.v \-y
2013-12-10 10:31:00 2940
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人