Quartus II v6.0 的波形仿真器

      用Quartus II v6.0 的波形仿真器仿真模块内部信号,有时候总会出现错误的结果。非常简单的逻辑,也是仿真结果不对,反复检查代码,实在找不出哪个地方不对。被这个事困饶挺久了。有点怀疑Quartus的仿真部分有问题,使用外部EDA工具ModelSim来做仿真,也是一样的结果。最后,干脆在ModelSim新建项目,整个代码都使用ModelSim来编译和仿真,居然结果就对了!看来,应该是Quartus II输出给ModelSim的输出文件中就有问题了。以后用Quartus II仿真查看内部信号的时候,要小心啊!

 
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 8
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值