自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

I AM BACK

你内心的平庸就是你失去追求卓越信念的那个瞬间。

  • 博客(45)
  • 资源 (31)
  • 收藏
  • 关注

原创 FPGA开发之Tcl的基于项目设计

step1:使用creat_project指令创建一个项目

2014-08-31 12:03:33 4262

原创 FPGA开发之Tcl项目设计一

现在在教学的时候看到的一般都是UI

2014-08-31 10:08:11 2568 1

原创 Linux之Nano文本编辑器的使用

nano 的使用其实径简单,你可以直接加上档名就能够开启一个旧档戒新档!

2014-08-31 02:11:58 1397

原创 Linux之命令的意思

[vbird@www ~]$ command [-options] parameter1 parameter2 ...挃令  选项  参数(1)  参数(2)说明:0. 一行挃令中第一个输入的部分绛对是『挃令(command)』戒『可执行文件案』1. command 为挃令的名称,例如变换路徂的挃令为 cd 等等;2. 中刮号[]幵丌存在亍实际的挃令中,而加入选项讴定时,通

2014-08-31 00:27:49 652

原创 Python之入门教程

首先你要有一个可以写的

2014-08-30 16:08:12 795

转载 Python之入门教程

http://blog.csdn.net/hitlion2008/article/details/9285785写得真不错!!!

2014-08-30 13:45:25 630

原创 arduino之arduino uno与舵机的使用

今天没事干就把之前玩过的Uno拿出来玩玩,

2014-08-29 23:49:02 18437

原创 FPGA开发之控制集control sets

控制集就是对一个寄存器的所有控制信号()

2014-08-28 15:34:57 2063 1

原创 数字电路设计之RTL编码指导原则

组塞/非阻塞:“=”用于组合逻辑;“<=”用于

2014-08-28 14:17:28 3668

原创 Linux之SD卡文件名和开机流程

Linux 中的装置文件名为何? 答:由二是使用侦测到的顺序来决定装置文件名,幵非不实际插槽代号有关,因此装置癿文件名如下: 1.  SATA1 插槽上癿檔名:/dev/sda2.  SATA5 插槽上癿檔名:/dev/sdb3.  USB 磁盘(开机完成后扄被系统捉到):/dev/sdc

2014-08-28 01:50:10 1116

原创 Linux之参考资料

要使用 Linux 做为我们癿主机系统呢?这是因为 Linux 有底下这些优点:  稳定癿系统:Linux 本来就是基二 Unix 概忛而发展出来癿操作系统,因此,Linux 具有不 Unix 系统相似癿癿秳序接口跟操作斱式, 当然也继承了 Unix 稳定幵丏有敁率癿特点。常吩到安装 Linux 癿主机连续运做一年以上而丌曾当机、 丌必兲机是秲松平常癿亊;  克贶戒少讫贶用

2014-08-27 23:07:33 703

原创 Linux之你容易忽略的计算机组成知识

整个主板上面最重要癿就是芯片组了!而芯片组通常又分为两个网桥来控制各组件癿沟通, 分别是:(1)北桥:负责链接速度较快癿 CPU、主存储器不显示适配器等组件;(2)南桥:负责连接速度较慢癿周边接口, 包括硬盘、USB、网绚卡等等。

2014-08-27 07:32:40 535

原创 FPGA开发之问题二

11、问 :ISE 中的 PAD TO PAD CONSTRAINT 是否是包括输入输出的 pad 时延之和再加上输入输出之间的组合逻辑的时延?还是只是输入输出之间的组合逻辑的时延?答 :Xilinx PAD-to-PAD contraint 的确涉及到输入输出 PAD 时延 . 这从布局后时序报告中可以看出。12、问 :写了一个 vhdl 的小程序 , 可是在 processes o

2014-08-26 19:08:02 2047

原创 FPGA开发之问题一

1、问 :请教控制 XST 插入 buffer 的方法答 :1、用 buffer_type 约束。具体使用方法在 XST User Guide2、手动插入 BUFG,然后设置允许使用 BUFG 的数量,那么手动插入的将拥有高优先级而先占用了BUFG。2、问 :ISE 软件中给出的综合报告与静态时序分析报告中都含有工作频率,请问哪一个是 FPGA 能够实际工作的频率?答 :经

2014-08-26 18:54:50 1400

原创 FPGA开发之高手之路

从技术层面来讲,可编程逻辑领域是目前和未来半导体行业最活跃的领域之一,不再是单一地用于 IC 设计的原型验证,更多地用于提供集成的系统级解决方案。现代的 FPGA 不再仅仅是可编程逻辑,而是介于 ASIC和 FPGA 之间的混合芯片,包含微处理器、收发器以及许多其它单元。所以对 FPGA 设计人员的要求也越来越高,已超出单一的逻辑设计范畴。因此,对于 FPGA 初学者来讲,需要明确个人的进

2014-08-26 18:32:10 795

原创 FPGA开发之资源汇总

一、官网大全1、赛灵思官方网站http://china.xilinx.com/2、赛灵思大学计划官方网站 http://china.xilinx.com/univ/3、赛灵思开放源码硬件社群 http://www.openhw.org4、赛灵思网上技术支持中心 http://china.xilinx.com/support/mysupport.htm5、赛灵思开发工具下载中心

2014-08-26 18:20:06 890

原创 FPGA开发之调用FFT IP核

(1) 流水线,Streaming I/O 结构 :允许连续的数据处理 ;(2) 基 4,Burst I/O 结构:提供数据导入/导出阶段和处理阶段。此结构拥有较小的结构,但转换时间较长;(3) 基 2,Burst I/O 结构:使用最少的逻辑资源,同 Radix-4 相同,提供两阶段的过程。

2014-08-26 17:50:32 17424

原创 FPGA开发之IP核

从IP 核的提供方式上,通常将其分为软核、硬核和固核这3 类。从完成IP 核所花费的成本来讲,硬核代价最大;从使用灵活性来讲,软核的可复用使用性最高。       软核 (Soft IP Core)       软核在 EDA 设计领域指的是综合之前的寄存器传输级 (RTL) 模型 ;具体在 FPGA 设计中指的是对电路的硬件语言描述,包括逻辑描述、网表和帮助文档等。软核只经过

2014-08-26 13:59:12 3958

原创 FPGA开发之配置模式

FPGA是软硬件都会用到的东西,FPGA开发的时候会有不同的模式,不同需求下就是不同的模式。所以对于模式更了解的话,开发的时候也是很有帮助的。

2014-08-26 13:50:23 5003

原创 整体学习法之获取信息

信息获取是整体学习的第一步,信息获取得快速才能为

2014-08-25 23:15:52 723

原创 整体学习法之信息分类

在学习的时候,我们都是有一个流程:获取信息->理解信息->扩展信息

2014-08-25 22:23:41 722

原创 生活之你为什么不学习

最近在别人的空间,我看到了十句话,

2014-08-25 21:48:28 646

原创 FPGA之综合篇

综合部分可以用setting进行所需要求来配置,比如FSM状态机的

2014-08-25 07:24:57 954

原创 生活之高效能人的七个习惯

习惯一:别指望谁能推着你走 如果你不向前走,谁又会推你走呢?因此,积极主动的态度,是实现个人愿景的原则。 我们常说:“我不会……,因为遗传……”、“我迟到,因为……”、“我的计划没完成,因为……”我们总是在找借口或是抱怨,在不满中消耗自己的生命。而人类与动物的区别正是人能主动积极地创造、实现梦想,来提升我们的生命品质。所以,有效能的人士为自己的行为及一生所做的选择负责,自主选择应对外界环境

2014-08-24 20:00:07 948

原创 FPGA之新套件Vivado的新性能

之前我们进行SOC设计使用的是ISE,现在使用的是

2014-08-19 10:55:54 1283

原创 数字电路设计之verilog的define和parameter

1语法定义parameter xx = yy;`define xx  yy   ( 注: 句尾无分号)2作用范围parameter 作用于声明的那个文件;`define 从编译器读到这条指令开始到编译结束都有效,或者遇到`undef命令使之失效3功能状态机的定义可以用parameter 定义,但是不推荐使用`define 宏定义的方式,因为'define 宏定义在编译时自动

2014-08-10 18:32:17 1790

原创 数字电路设计之仿真时碰到的小问题

#10 i_datain #10 i_datain                 #80 i_datain <= {`SUB0, `gr3,  `gr1, `gr0};

2014-08-10 16:05:17 1420

原创 FPGA开发之planahead

Planahead 允许高层设计者为不同的模块划分相应 FPGA 芯片区域,并允许底层设计者在所给定的区域内独立地进行设计、实现和优化,等各个模块都正确后,再进行设计整合。如果在设计整合中出现错误,单独修改即可,不会影响到其它模块。Planahead 将结构化设计方法、团队化合作设计方法以及重用继承设计方法三者完美地结合在一起,有效地提高了设计效率,缩短了设计周期。

2014-08-08 17:44:00 948

原创 FPGA开发之选择FPGA器件

在做FPGA开发的时候,我们就会考虑我们选择什么器件呢?其实我觉得要是做硬件

2014-08-08 11:34:19 1248

原创 FPGA开发之FPGA开发流程简介

我以前一直很疑惑芯片设计这一块在实际中是怎么做的呢?今天

2014-08-08 11:26:17 3647

原创 FPGA开发之FPGA的资源

FPGA的开发之前,我们就要了解FPGA有什么资源

2014-08-08 10:27:15 1999

原创 数字电路设计之堆栈的verilog实现

表面上使用verilog实现POP和PUSH十分简单,实际上这里面还是有点学问的。如果是简单的堆栈实现

2014-08-05 16:55:25 6954

原创 生活之机遇与挑战

暑假了,看着大家天南海北地去旅游,心里觉得还是

2014-08-04 23:06:29 661

原创 数字电路设计之循环右移的verilog实现

循环右移这个在ARM的指令中也是比较常见的,今天

2014-08-04 19:30:13 11368 3

原创 数字电路设计之写verilog易犯的错误

有时候写verilog经常会忘记一些东西,这次我写一个CPU

2014-08-04 16:22:23 1283

原创 数字电路设计之算数右移的verilog实现

在verilog语言中,本来>>>就是算数右移的符号,可是

2014-08-04 12:02:35 7519 2

原创 数字电路设计之ARM的thumb指令中的POP和PUSH指令

我们先来了解一下什么是PUSH和POP指令。低寄存器和可选的LR进栈以及低寄存器和可选的PC出栈。指令格式:PUSH {reglist}POP {reglist}PUSH {reglist,LR}POP {reglist,PC}其中:l    reglist:低寄存器的全部或其子集。括号是指令格式的一部分,它们不代表指令列表可选。列表中至少有1个寄存器。Thum

2014-08-04 11:10:32 5129

原创 FPGA开发之时钟管理模块

Xilinx的FPGA中有两个底层单元DCM和PLL构成了

2014-08-04 07:19:31 2312

转载 IAR使用之破解

对于学习AVR单片机的人来说,IAR是必不可少的开发软件。IAR以编译效率高著称,相比AVR STUDIO 6.0,它有小巧的体型,这点对于电脑配置不是很高的童鞋来说是很有利的,用过之后就有感受了。废话少说,下面介绍破解过程。       找到CD-EWAVR-5511文件夹下的autorun.exe,运行,选择第二项,遇到要输License的地方,先停下,看下面的操作:       进入

2014-08-03 16:33:00 4712

转载 Uva1225之数数字

在博客中看到有的人直接建表的方法,感觉很不错。

2014-08-02 16:21:22 1367 1

Hadoop完全指南

Hadoop:definite guide的第四版的英文版

2017-03-23

VMware Tools 9.9

终于找到了VMware Tools9.9以上的版本,现在是回馈社会的时候了

2016-10-08

HEVC 原理简介

HEVC 原理简介

2016-02-23

HEVC 白皮书

HEVC 白皮书

2016-02-23

正交矩阵的求解

这是求解正交矩阵的方法,有点参考性,可以看看

2015-11-30

Latex数学公式

这是latex的使用说明,非常有效,推荐给大家

2015-11-28

视频评估软件

计算YUV的ssim和psnr,

2015-11-28

opencl实验

opencl实验报告下载

2015-06-23

sublime verilog插件

这是system verilog自动补充插件

2015-06-14

代码mfcc的pro

师弟师妹们,请收下。这是mfcc的pro的代码,仅供参考

2015-06-09

查看视频格式信息软件

这个软件可以看到你正在播放视频的格式的视频和音频的格式,比如avc或者heve等等。

2015-05-25

FreeImage库

这是FreeImage库,让大家免费下载

2015-05-01

AMD OpenCL教程

这篇教材我觉得写得真是不错,免积分送给大家了

2015-05-01

HMM算法第五种实现代码

这是HMM代码,我没看过,不过希望对大家有所帮助

2015-04-16

HMM算法第四种实现代码

这是我找的第四种实现HMM算法的代码,希望对你有所帮助

2015-04-16

HMM算法及其源码

这是HMM算法又一种写法,总有一种适合你

2015-04-16

HMM孤立词算法及其源码

这个是孤立词的HMM算法实现,还不错。贡献给大家了。

2015-04-16

ISE生成coe文件工具

Xilinx的ISE生成ram之前都是用matlab,麻烦!直接用这个程序可以直接生成coe文件!可以自己调位宽!验证过很ok!

2015-02-05

H.264学习指南

这份资料还是很给力的,里面涉及了很多的东西。值得学习!

2015-02-03

JM86源码的源码

这是关于新一代视频压缩编码标准H.264的技术程序,在这里是专门对其程序进行解说,是很好的资料,希望能够帮助大家学习。所以大家可以免积分下载!

2015-02-03

android7~8

这一次的是android的实验7~8,以及我的实验报告模板!

2014-11-27

Android实验7

这个资源就是我上课的pdf,没有别的好说了

2014-11-27

ZedBoard的USB-UART配置

这是ZedBoard的UART-USB方面的文件!

2014-11-08

ZedBoard的USB转串口

这是Zedboard的USB转串口的文件,可用

2014-11-08

ARMm010_22

这个是一些代码,是我上课的时候的代码的一个总结。

2014-10-22

赛灵思FPGA开发全攻略(下册)-技巧篇

非常好用,十分实在!赛灵思FPGA开发全攻略(下册)-技巧篇里的东西可以让你数电的水平更上一步!

2014-08-25

赛灵思FPGA开发全攻略(上册)-基础篇

这本书对FPGA初学者有很大的帮助,写得不错

2014-08-25

The C Programming Language的答案

这是C语言的鼻祖写得一本书,写得真是不错!不过我分享的是课后答案~

2014-08-25

网络调试器

网络调试器利器,不可不备。这个网络调试助手在使用单片机构建AP的时候用到的,感觉不错。和putty是一套的。

2014-08-04

低功耗电路设计中的bus总线翻转

使用verilog实现低功耗技术中的bus总线翻转。

2014-06-30

CPU五级流水线verilog源代码

使用了verilog写的五级流水线。处理过了hazard,还有stall。

2014-06-30

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除