如何在Model Sim SE中添加库以仿真ISE的IP核

本案例:明德扬首创全新FPGA设计技巧--至简设计法,教你如何一步一步去完成一个复杂电路的设计,里面很多有实用技巧,熟练运用这些技巧,有助于你写出非常优秀的FPGA设计代码。非常简洁易读,欢迎比较。

      

当工程中使用到XILINXIP核时,通常只能在ISE/VIVADO中调用MODELSIM来仿真,这非常不方便,但如果不这样做,就会出现找不到仿真库的错误。

下面明德扬就介绍一种方法,可以直接在MODELSIM中仿真XILINX的工程,只要解决XILINX的仿真库即可。


第一步:编译仿真库

找到你的Xilinx的安装目录,在安装目录/bin/nt(我的是D:\Xilinx\ 14.7\ ISE_DS\ ISE\bin\nt)下面,找到compxlibgui.exe



双击运行



上面是选择你安装的MODELSIM版本,modelsim-altera是不支持的。明德扬通常是安装questa simulater 



选择是VHDL还是VERILOG,建议全选,NEXT



选择你的器件类型,建议全选,NEXT



选择要编译的库,建议全选,NEXT



选择编译后存放的位置,建议在ModelSim安装目录下新建一个文件夹Xilinx(注意,目录不能有任何空格、中文和特殊字符)。本例是安装在D:\MDY\ModelsimSE\win64\Xilinx

点击Launch Compile Process,开始编译仿真库,时间大概有几十分钟。

 

第二步:修改MODELSIM配置文件

MODELSIM安装目录下,找到modelsim.ini这个文件。



右键属性,把只读选项去掉。



然后用记事本或GVIM打开modelsim.ini



在“Library”下面输入(注意,下面的路径应该为你存放XILINX仿真库的路径)

secureip = D:\MDY\ModelsimSE\win64\Xilinx\secureip

unisim = D:\MDY\ModelsimSE\win64\Xilinx\unisim

unimacro = D:\MDY\ModelsimSE\win64\Xilinx\unimacro

unisims_ver = D:\MDY\ModelsimSE\win64\Xilinx\unisims_ver

unimacro_ver = D:\MDY\ModelsimSE\win64\Xilinx\unimacro_ver

simprim = D:\MDY\ModelsimSE\win64\Xilinx\simprim

simprims_ver = D:\MDY\ModelsimSE\win64\Xilinx\simprims_ver

xilinxcorelib = D:\MDY\ModelsimSE\win64\Xilinx\xilinxcorelib

xilinxcorelib_ver = D:\MDY\ModelsimSE\win64\Xilinx\xilinxcorelib_ver

uni9000_ver = D:\MDY\ModelsimSE\win64\Xilinx\uni9000_ver

cpld = D:\MDY\ModelsimSE\win64\Xilinx\cpld

cpld_ver = D:\MDY\ModelsimSE\win64\Xilinx\cpld_ver

完成后,保存关闭,右键属性,将前面的只读选项重新勾选。到这里库就添加成功了。

 

第三步:使用仿真库

按照常规做法,新建MODELSIM仿真工程、编译代码,在此不在详述。

接下来开始仿真,我们要添加一下搜索库的路径。



然后点开work,选中你的tb文件

之后点开Simulate选择Start Simulation



然后选中你的tb测试文件,再点到Libraries窗口,在Search Libraries(-L)中添加那个IP核所需要的库文件



点击ok。之后就是仿真流程了。

  • 1
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值