East Central North America 2004 I Conduit!

【题目描述】

题目意思不难理解,把n条线段画在纸上,合并可以合并的线段,比如两条线段有至少两个公共点就可以合并成一条线段,问最后剩下几条线段

【输入输出】

多case

n (n <= 10000)

x1, y1, x12, y12

....

xn, yn, xn2, yn2;

n = 0结束

每个用例输出最后的线段数。

【题目分析】

想法就是对线段按斜率排序,斜率相同的按x轴或y轴的截距来排序,截距也相同的按x较小的x坐标或者y较小的y坐标来排序,这样就可以保证overlap的线段都是相邻的,然后线性扫描一遍。

注意水平线和垂线的特殊处理

【code】

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在使用Apache CXF开发Web服务时,可以在代码中配置HTTP Conduit,也可以在配置文件中进行配置。如果您使用的是Maven项目,则可以在pom.xml文件中添加如下CXF插件配置: ```xml <build> <plugins> <plugin> <groupId>org.apache.cxf</groupId> <artifactId>cxf-codegen-plugin</artifactId> <version>${cxf.version}</version> <executions> <execution> <id>generate-sources</id> <phase>generate-sources</phase> <configuration> <sourceRoot>${project.build.directory}/generated-sources/cxf</sourceRoot> <wsdlOptions> <wsdlOption> <wsdl>${basedir}/src/main/resources/wsdl/HelloWorld.wsdl</wsdl> <extraargs> <extraarg>-client</extraarg> <extraarg>-verbose</extraarg> <extraarg>-exsh</extraarg> <extraarg>true</extraarg> </extraargs> <bindingFiles> <bindingFile>${basedir}/src/main/resources/wsdl/HelloWorld-binding.xml</bindingFile> </bindingFiles> <wsdlLocation>classpath:wsdl/HelloWorld.wsdl</wsdlLocation> </wsdlOption> </wsdlOptions> </configuration> <goals> <goal>wsdl2java</goal> </goals> </execution> </executions> </plugin> </plugins> </build> ``` 在这段代码中,我们可以看到一个名为“wsdlOption”的元素。其中包含了HTTP Conduit的配置,可以通过添加以下代码来配置Trust Decider: ```xml <wsdlOption> <wsdl>${basedir}/src/main/resources/wsdl/HelloWorld.wsdl</wsdl> <extraargs> <extraarg>-client</extraarg> <extraarg>-verbose</extraarg> <extraarg>-exsh</extraarg> <extraarg>true</extraarg> </extraargs> <bindingFiles> <bindingFile>${basedir}/src/main/resources/wsdl/HelloWorld-binding.xml</bindingFile> </bindingFiles> <wsdlLocation>classpath:wsdl/HelloWorld.wsdl</wsdlLocation> <http-conf:conduit name="*.http-conduit"> <http-conf:tlsClientParameters> <sec:trustDecisions> <sec:TrustAllSSLValidation/> </sec:trustDecisions> </http-conf:tlsClientParameters> </http-conf:conduit> </wsdlOption> ``` 这段代码表示添加一个Trust Decider,所有服务端证书都被信任。当然,这种方式并不安全,建议在生产环境中使用证书链验证的方式来进行服务端证书验证。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值