自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(10)
  • 资源 (1)
  • 收藏
  • 关注

原创 C语言练习1

练习1:编写一个统计空格、制表符、换行符个数的程序。#include <stdio.h>int main(){ int blanks, tables, newlines; //定义空格计数变量 列表计数变量 行数计数变量 int done = 0; //用于指示从控制台输入是否完成,当接收到EOF(linux里面是ctrl+d

2017-03-07 16:05:18 313

原创 verilog学习笔记——串口

简单串口的实现module uart (reset ,txclk ,ld_tx_data ,tx_data ,tx_enable ,tx_out ,tx_empty ,rxclk ,uld_rx_data ,rx_data ,rx_enable

2017-03-05 18:08:58 857

原创 verilog学习笔记——8位带置位信号的计数器

codemodule(out_q, //计数器输出clk, //时钟load, //并行数据载入使能enable, //计数使能data, //置数reset //复位信号);//输出端口output[7:0] out_q;//输入端口input[7:0] data;input clk, reset, enable, load;//内部变

2017-03-05 17:39:45 15152 1

原创 verilog学习笔记——三段式状态机

摩尔状态机的架构 状态转换图 codingmodule finite_fsm(z_o,clk,Rst_n,w_i);//输出端口output z_o;//输入端口input clk;input Rst_n;input w_i;//输出端口类型声明reg z_o;//参数声明parameter IDLE = 2'b00;parameter S0 = 2'b01;param

2017-03-05 12:06:22 28373 7

原创 verilog学习笔记——偶数分频器

N分频,N是偶数module clk_div_N(clk,rst_n,clk_out);parameter N = 20; //分频器N分频parameter t = 4; //计数器的位数//输出端口output clk_out;//输入端口input clk;input rst_n;//端口类型声明reg clk_out;reg

2017-03-01 09:07:07 10738 4

原创 perl学习笔记——数据类型

perl学习笔记——数据类型标量(scalar)标量是用打头的,如打头的,如scalar。数字$number = 12;$float = 3.1415926;$negative = -66;$exponent_1 = 2e15;$exponent_2 = -10e10;$exponent_3 = -2.5e-20;$binary_1 = 0b1111;$binary_2 = 0b1

2017-02-27 22:29:19 379

原创 C学习笔记——冒泡排序

冒泡排序#include<stdio.h>int main(void){ int array[10] = {9,8,7,6,5,4,3,2,1,0} int i,j; int temp; for(i = 1; i < 10; i++) { for(j = i; j > 0; j--) { if(array[j] < array[j-

2017-02-27 20:04:20 292

原创 verilog学习实例——编码器

module encoder_using_if(binary_out, //4位二进制编码输出encoder_in //16位输入enable //编码使能信号);//输出端口output binary_out;//输入端口input enableinput[15:0] encoder_in;//内部变量声明reg[3:0] binary_out;alway

2017-02-26 17:57:10 9165 1

原创 perl学习—文本比对程序

perl学习—文本比对程序比较两个文本文件中有多少行的内容不一致#!usr/bin/perluse strict;use warnings;my $line1;my $num = 0;open(FL1,"DATA1") || die "$!\n"; #句柄FL1,用于打开DATA1文件open(FL2,"DATA2") || die "$!\n";

2017-02-26 17:08:55 623

原创 perl笔记之条件和循环(一)

perl笔记之条件和循环(一)1 if语句第一种if语句if(expression) #如果expression为真,则执行block中的语句{ block;}如果block中只有一条语句,那么if语句还可以写成:expression_2 if(expression_1);第二种if语句if(expression) #如果expression为真,则执行block_1{

2017-02-26 17:07:25 893

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除