FPGA 与 ASIC

FPGA 与 ASIC 的区别在哪里?

现场可编程门阵列 (FPGA) 和应用专用集成电路 (ASIC)为设计人员提供了不同的价值定位,因此在您选择任何一种产品之前,应进行仔细评估。两种种技术对比。过去 FPGA 用于速度/复杂度/容量较低的设计,而当今的 FPGA 则可以轻松突破 500 MHz 的性能障碍。FPGA 能够以更低的价格实现无可比拟的逻辑密度增加和众多其它特性(如嵌入式处理器、DSP 模块、时钟技术和高速串行),现已几乎成为任何设计的首选。

FPGA vs. ASIC 设计优势

FPGA 设计
优势 优势
可加速上市进程 更快的上市时间 - 无需布局、掩模和其它制造步骤。
非提前支付的一次性开支 (NRE) 这些成本通常与 ASIC 设计相关
更简化的设计周期 由于软件可以处理很多布线、布局和时序问题
更具预测性的项目周期 由于消除了潜在的重新设计和晶圆容量等
现场可重编程功能 可以远程上传的新比特流
ASIC 设计
优势 优势
完整的定制功能 由于器件是根据设计规格来生产的
降低器件成本 可实现大批量设计
更小巧的尺寸 由于器件是根据设计规格来生产的

FPGA 与 ASIC 设计流程

由于设计逻辑已综合到通过验证的定义好的 FPGA 器件上,这样 FPGA 设计流程就避免了项目中既复杂又耗时的平面规划、布局布线、时间分析以及掩码/项目阶段。然而,必要时,Xilinx 还能够提供先进的布局规划、层次化设计和时序工具,使用户能够将要求最苛刻的设计的性能最大化

FPGA 与ASIC 设计流程对比

FPGA 和 ASIC 的设计流程对比


  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值