data_seg

1#pragma data_seg()一般用于DLL中。也就是说,在DLL中定义一个共享的,有名字的数据段。最关键的是:这个数据段中的全局变量可以被多个进程共享。否则多个进程之间无法共享DLL中的全局变量。

2共享数据必须初始化,否则微软编译器会把没有初始化的数据放到.BSS段中,从而导致多个进程之间的共享行为失败。

3,你所谓的结果正确是一种错觉。如果你在一个DLL中这么写:

#pragma data_seg("MyData")

int g_Value; // Note that the global is not initialized.

#pragma data_seg()

DLL
提供两个接口函数:

int GetValue()
{
return g_Value;
}

void SetValue(int n)
{
g_Value = n;
}

然后启动两个进程ABAB都调用了这个DLL,假如A调用了SetValue(5); B接着调用int m = GetValue(); 那么m的值不一定是5,而是一个未定义的值。因为DLL中的全局数据对于每一个调用它的进程而言,是私有的,不能共享的。g_Value为进行了初始化,那么g_Value就一定会被放进MyData段中。换句话说,如果A调用了SetValue(5); B接着调用int m = GetValue(); 那么m的值就一定是5!这就实现了跨进程之间的数据通信!

讲下面代码分部分讲解//数码管显示 module seg_driver( input clk , input rst_n , input [31:0]data,//待显示的数据 output wire[7:0] sel , output wire[7:0] seg ); //wire [31:0]data; // assign dig_seg = 8'd0; // assign dig_sel = 1'b0; reg [7:0] dig_sel; reg [7:0] dig_seg; localparam NUM_0 = 8'hC0, NUM_1 = 8'hF9, NUM_2 = 8'hA4, NUM_3 = 8'hB0, NUM_4 = 8'h99, NUM_5 = 8'h92, NUM_6 = 8'h82, NUM_7 = 8'hF8, NUM_8 = 8'h80, NUM_9 = 8'h90, NUM_A = 8'h88, NUM_B = 8'h83, NUM_C = 8'hC6, NUM_D = 8'hA1, NUM_E = 8'h86, NUM_F = 8'h8E, LIT_ALL = 8'h00, BLC_ALL = 8'hFF; parameter CNT_REF = 25'd1000; reg [9:0] cnt_20us; //20us计数器 reg [3:0] data_tmp; //用于取出不同位选的显示数据 // assign data = 32'hABCD_4413; //描述位选信号切换 //描述刷新计数器 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt_20us <= 25'd0; end else if(cnt_20us >= CNT_REF - 25'd1)begin cnt_20us <= 25'd0; end else begin cnt_20us <= cnt_20us + 25'd1; end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_sel <= 8'hfe;//8'b1111_1110 end else if(cnt_20us >= CNT_REF - 25'd1)begin dig_sel <= {dig_sel[6:0],dig_sel[7]}; end else begin dig_sel <= dig_sel; end end assign sel = dig_sel; //段选信号描述 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin data_tmp <= 4'd0; end else begin case(sel) 8'b1111_1110:data_tmp <= data[ 3-:4]; 8'b1111_1101:data_tmp <= data[ 7-:4]; 8'b1111_1011:data_tmp <= data[11-:4]; 8'b1111_0111:data_tmp <= data[15-:4]; 8'b1110_1111:data_tmp <= data[19-:4]; 8'b1101_1111:data_tmp <= data[23-:4]; 8'b1011_1111:data_tmp <= data[27-:4]; 8'b0111_1111:data_tmp <= data[31-:4]; default: data_tmp <= 4'hF; endcase end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_seg <= BLC_ALL; end else begin case(data_tmp) 4'h0 : dig_seg <= NUM_0; 4'h1 : dig_seg <= NUM_1; 4'h2 : dig_seg <= NUM_2; 4'h3 : dig_seg <= NUM_3; 4'h4 : dig_seg <= NUM_4; 4'h5 : dig_seg <= NUM_5; 4'h6 : dig_seg <= NUM_6; 4'h7 : dig_seg <= NUM_7; 4'h8 : dig_seg <= NUM_8; 4'h9 : dig_seg <= NUM_9; 4'hA : dig_seg <= NUM_A; 4'hB : dig_seg <= NUM_B; 4'hC : dig_seg <= NUM_C; 4'hD : dig_seg <= NUM_D; 4'hE : dig_seg <= NUM_E; 4'hF : dig_seg <= NUM_F; default: ; endcase end end assign seg = dig_seg ; endmodule
最新发布
06-09
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值