Ubuntu下icarus verilog仿真实例

1.安装需要的工具

   sudo apt-get install iverilog

   sudo    apt-get install gtkwave

2./home/nh/icarus/shishi路径下有两个文件simple.v和simple_tb.v;

simple.v文件的内容如下:


module simple(A, B);

   input  [3:0] A;
   output [3:0] B;

   // mix up the input bits
   assign B = { A[0], A[2], A[1], A[3] };

endmodule


simple_tb.v文件的内容如下:


module simple_tb;

   reg [3:0] A = 4'b1010;

   wire [3:0] B;

   initial
     begin
        $dumpfile("simple.vcd");
        $dumpvars(0, s);
        $monitor("A is %b, B is %b.", A, B);
        #50 A = 4'b1100;
        #50 $finish;
     end

   simple s(A, B);

endmodule

3.进入/home/nh/icarus/shishi路径下

 执行下面命令进行编译:

 iverilog -o simple.vvp simple.v simple_tb.v

 输入下列命令进行仿真:

 vvp simple.vvp

    会得到下列输出:

 

    执行下列命令查看波形:

 gtkwave simple.vcd

    得到输出波形如下:

 

 如果没有显示上述波形,请点击窗口左上角simple_tb左侧的‘+’号,会出现‘S’,然后点击'S',在Type Signals中会出现wire A[3:0]和wire B[3:0];然后分别点击wire A[3:0],然后点击'Insert'按钮;最后分别点击wire B[3:0],然后点击'Insert'按钮;就会得到相应的波形。

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值