EDA洗衣机控制器

1. 时序仿真图

2。减计数器

 

 

 

3.数码管显示

 

4.设置时间

 

 

5.译码输出

顶层文件

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity total is

port(

              clk1,start1,load1:in std_logic;

              k1:in std_logic_vector(9 downto 0);

              REV1,RUN1,PAUSE1:out std_logic;

              h,i,j,k,l,m,n,o,p,q,r,s,t,u:out std_logic

       -- time_is_end:out std_logic

    );

end entity total;

architecture one of total is

component counter --计数器

  port(clk,start:in std_logic;

     k:in STD_LOGIC_VECTOR(7 downto 0);

     time_remain:BUFFER STD_LOGIC_VECTOR(7 DOWNTO 0);

     time_is_up:out std_logic

);

end component;

 

component decoder--译码器

  port( Q1,Q2: in std_logic;

       REV,RUN,PAUSE: out std_logic );

end component;

 

component settime --设置时间

 port(load:in std_logic;

k:in std_logic_vector(9 downto 0);

o:out std_logic_vector(7 downto 0)

);

end component;

 

component shixu --时序

 port(cp,en,rd:in std_logic;

       q1,q2:out std_logic--00为停机,10为正转,01为反转

);

end component;

 

component showtime--

  • 1
    点赞
  • 34
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值