systemverilog string match

需求: 在systemverilog 的string看是否存在某个字节或某段字符串

其实请前辈说match也不算是sv的语法了,而且只IEEE中确实也没有该function, 不过可以实现上面所需,用的时候可能会受simulator 的影响。


eg:

program string_test;
  string str = "add16_rri";
  initial begin
    if(str.match("16")) begin
 $display("match success");
end else begin
 $display("not match success");
end


    if(str.match("abc")) begin
 $display("match success");
end else begin
 $display("not match success");
end
  end

endprogram


result:


match success
not match success
$finish at simulation time                    0

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值