为什么要定义成integer类型

int 是基本数据类型
Integer是其包装类,注意是一个类。
为什么要提供包装类呢???
一是为了在各种类型间转化,通过各种方法的调用。否则 你无法直接通过变量转化。
比如,现在int要转为String
int a=0;
String result=Integer.toString(a);
在java中包装类,比较多的用途是用在于各种数据类型的转化中。
我写几个demo
//通过包装类来实现转化的
int num=Integer.valueOf("12");
int num2=Integer.parseInt("12");
double num3=Double.valueOf("12.2");
double num4=Double.parseDouble("12.2");
//其他的类似。通过基本数据类型的包装来的valueOf和parseXX来实现String转为XX
String a=String.valueOf("1234");//这里括号中几乎可以是任何类型
String b=String.valueOf(true);
String c=new Integer(12).toString();//通过包装类的toString()也可以
String d=new Double(2.3).toString();
再举例下。比如我现在要用泛型
List<Integer> nums;

这里<>需要类。如果你用int。它会报错的


int 是基本数据类型,Integer是int的包装类,属于对象类型。
int类型的值直接储存在栈里,调用起来更效率。

Integer类型的值储存在堆里,它有自己的方法可以调用,有些特定的地方只能接收Integer类型而不能接收int类型。

例如集合的泛型,List<Integer>,如果写List<int>会报错。往List<Integer>里添加int类型数据也能成功是因为java从1.6开始提供了自动拆装箱的功能,所以不用我们手动去转换。


  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
FPGA(Field-Programmable Gate Array)是一种可编程逻辑器件,通常用于电子系统的硬件加速和逻辑设计。编写SDI(Serial Digital Interface)的代码,可以使用硬件描述语言(HDL)如VHDL或Verilog来描述电路。 下面是一个简单的示例,展示如何使用VHDL编写一个基本的SDI接口: ```vhdl library ieee; use ieee.std_logic_1164.all; entity SDI_interface is port ( clk: in std_logic; reset: in std_logic; sdi_data: in std_logic_vector(7 downto 0); sdi_valid: in std_logic; sdi_out: out std_logic ); end SDI_interface; architecture Behavioral of SDI_interface is signal sdi_counter: integer range 0 to 9 := 0; signal sdi_shift_reg: std_logic_vector(9 downto 0); begin process(clk, reset) begin if reset = '1' then sdi_counter <= 0; sdi_shift_reg <= (others => '0'); sdi_out <= '0'; elsif rising_edge(clk) then if sdi_valid = '1' then sdi_shift_reg <= sdi_shift_reg(8 downto 0) & sdi_data; sdi_counter <= sdi_counter + 1; if sdi_counter = 9 then sdi_out <= '1'; else sdi_out <= '0'; end if; else sdi_out <= '0'; end if; end if; end process; end Behavioral; ``` 这是一个简单的SDI接口模块,包含了一个时钟信号(`clk`)、复位信号(`reset`)、8位数据输入信号(`sdi_data`)、有效数据标志(`sdi_valid`)和一个输出信号(`sdi_out`)。在时钟上升沿触发的时候,数据被串行移入一个10位的移位寄存器(`sdi_shift_reg`),同时计数器(`sdi_counter`)递增。当计数器达到9时,输出信号置高表示数据传输完。 请注意,这只是一个简单的示例代码,实际应用中需要根据具体的SDI协议和硬件要求进行修改和优化。同时,还需要在FPGA开发工具中进行综合、布局和时序约束等操作,以生适合目标FPGA平台的比特流文件进行烧录。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

心歌技术

打赏不能超过你的早餐钱!!!

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值