verilog全局变量和局部变量定义

1、局部变量定义(parameter)
声明:

parameter xx = 8; (注意有等号,且后面有分号)

使用:xx

作用域:parameter 作用于声明的那个文件。

另外parameter可以用作例化时的参数传递。具体方法参见《Verilog例化时的参数传递》一文 


2.全局变量定义(`define )(注意撇号来自键盘左上方破浪线那个键,不是单引号)

声明

`define xx 8  (无等号,且不用分号)

使用:`XX(使用该斌两时必须在变量前加撇号)
作用域:`define 从编译器读到这条指令开始到编译结束都有效,或者遇到`undef命令使之失效。


3.如何让变量在整个项目都有效

如果想让parameter或`define作用于整个项目,可以将如下声明写于单独文件,并用`include让每个文件都包含声明文件:
`ifndef xx
`define xx yy // or parameter xx = yy;
`endif

`define也可以写在编译器最先编译的文件顶部。通常编译器都可以定义编译顺序,或者从最底层模块开始编译。因此写在最底层就可以了。



  • 6
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值