FPGA学习笔记2-Quartus2简介

Quartus2简介

特性概述
全集成设计工具:
多种设计输入方法
逻辑综合
布局布线
仿真
时序和功耗分析
器件编程
支持windows,solaris,linux
节点锁定和网络许可选项

软件版本:1,订购版本,全部支撑。2,网络版本,支持大部分器件,免费版本

典型的FPGA设计方法
设计规范->设计输入/RTL编码(register-transfer level)->RTL仿真(仅仅逻辑,不考虑延迟)->综合(映射)->布局布线(适配)

典型PLD设计流程
时序分析->门级仿真->PC板仿真和测试

quartus工程
收集相关设计文件和库
必须有指定的顶层实体
针对单个器件
在Quartus设置文件(.QSF)中存储设置
利用新工程向导建立新工程
可以由TCL脚本建立

新工程向导
1.设置工作路径和顶层实体
2.加入文件(可选)
3.选择EDA工具(可选)
4.选择目标器件

工程文件(.QPF)
版本
时间戳
有效修订版本

工程管理
档案和工程恢复(.QAR)
建立档案活动日志(.QARLOG)
版本控制和工程交付
复制工程
在新的目录中的所有文件都直接复制到目标位
  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Wi-Fi研习者

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值