关于SystemVerilog中的随机化问题

只是一些很初级的经验。

在SV中写随机测试环境的时候,往往有随机路径的需求,就会用到randcase等语句。刚使用的时候会发现,假如randcase只使用了一次,那么每次编译完成以后,怎么都是同一条路径呢?不应该是每次都随机的吗?

其实随机数这个概念,对于大多数环境,MATLAB也好,VS也好,SV里的PRNG也一样,产生的都是伪随机数。在这里这个P已经暴露了。什么叫伪随机数呢?就是说这个随机数看上去好像是随机的,是乱序的,但是实际上,只要知道产生随机数的函数f,再知道种子seed,就可以推算出某一时刻产生的数字应该是多少。

在默认的情况下,vcs编译采用的种子是固定的,除非你用$srandom函数设置种子或者编译时加上+plusarg_save +ntb_random_seed = {NUMBER} 的参数,人为的修改种子的值。这个种子的修改会对编译中程序里所有的随机化函数产生影响。在这里可以用+plusarg_save +ntb_random_seed_automatic参数偷个懒,让种子自己变去。vcs有个好处,改变种子的同时把当前使用的种子显示出来了。

在这里需要提一个问题,用真随机数来验证设计的方法固然想法是好,但是由于验证中难免会遇到各种BUG与意外,这时候需要对BUG或意外进行重现,如果种子都不知道是多少,那么你的BUG就飞了,和没测没区别。所以在验证的时候要保证复现性。

最后说一说$random与$urandom这两个系统函数。很多人喜欢使用前者产生随机数,但是有的时候信号要求是无符号数的就会产生意想不到的结果,这时候使用$urandom比较稳妥。

  • 1
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
SystemVerilog随机化是一种用于生成随机测试数据的方法。它可以帮助测试工程师轻松地创建多样化的测试用例,以验证硬件设计的各种情况。 在SystemVerilog,可以使用`rand`和`randc`关键字来声明随机变量。`rand`用于声明普通的随机变量,而`randc`用于声明随机变量,其值来自一个预定义的有限集合。 使用随机化功能需要使用`class`关键字来定义一个包含随机变量和约束条件的类。然后,可以使用`constraint`关键字来定义变量之间的约束关系。 下面是一个简单的SystemVerilog随机化示例: ```systemverilog class my_packet; rand bit [7:0] opcode; rand bit [15:0] address; constraint valid_address { address >= 0; address <= 65535; } constraint valid_opcode { opcode != 0; } function new(); opcode = 0; address = 0; endfunction function void randomize(); super.randomize(); endfunction endclass module testbench; initial begin my_packet pkt; pkt.randomize(); $display("Random opcode: %0h", pkt.opcode); $display("Random address: %0h", pkt.address); end endmodule ``` 在上面的示例,我们定义了一个名为`my_packet`的类,其包含了一个随机的`opcode`和`address`。我们使用`constraint`来确保`address`在有效范围内,并且`opcode`不能为0。 在`testbench`模块,我们创建了一个`my_packet`对象并对其进行随机化。然后,我们使用`$display`语句来显示生成的随机值。 这只是一个简单的示例,SystemVerilog随机化功能还有很多其他特性和用法,例如使用分布式约束、约束函数等。希望这个简单的示例能给你提供一个入门点!

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值