自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(42)
  • 资源 (8)
  • 收藏
  • 关注

原创 primefaces和jsf2的一些技巧

1. 的中文设置

2014-04-23 09:06:30 1085

原创 java设计模式笔记

一.原型模式1. 定义:Specify the kinds of objects to create using a prototypical instance, and create new objects by copying this prototype.2. 简单点说,就是复制对象,实现Cloneable接口,并重写Object类里面的clone方法: public Thing c

2014-03-23 10:30:26 834 1

转载 使用TortoiseGit处理代码冲突

场景一 user0 有新提交user1 没有pull -> 写新代码 -> pull -> 提示有冲突 解决办法一-> stash save(把自己的代码隐藏存起来) -> 重新pull -> stash pop(把存起来的隐藏的代码取回来 ) -> 代码文件会显示冲突 -> 右键选择edit conficts,解决后点击编辑页面...

2018-10-24 16:31:03 789

原创 DELL R820安装

背景:客户买了2台新鲜出炉的DELL R820,3T硬盘,32G内存, 2颗CPU,要装OEL5.5步骤:         一.开机F10设置raid5         二.F11设置bios,U盘启动(U盘装系统)         三. 装系统,还要安装一下网卡驱动,方可设置IP详细:         一. 后补         二. 后补

2015-12-03 09:26:47 4890

转载 FEL-表达式

Fel是轻量级的高效的表达式计算引擎[Z]Fel在源自于企业项目,设计目标是为了满足不断变化的功能需求和性能需求。Fel是开放的,引擎执行中的多个模块都可以扩展或替换。Fel的执行主要是通过函数实现,运算符(+、-等都是Fel函数),所有这些函数都是可以替换的,扩展函数也非常简单。Fel有双引擎,同时支持解释执行和编译执行。可以根据性能要求选择执行方式。编译执行就是将表

2015-09-19 10:28:01 2039

转载 XMLHttpRequest cannot load – Origin is not allowed by Access-Control-Allow-Origin.

今天使用appmobi的模拟器调试程序的时候,发现使用jquery的getJson方法调用的内容不显示。(前面使用appCan的模拟器也有这个问题)查看后台报错:XMLHttpRequest cannot load http://192.168.103.xx:8085/xxx/TestJsonInfo?value=1. Origin http://localhost:58888 is

2015-09-15 16:04:38 996

转载 This Virtual Machine Is Configured For 64-bit Guest Operating Systems

This Virtual Machine Is Configured For 64-bit Guest Operating Systems.However 64-Bit Operation Is Not PossibleThis host is VT – capable, but VT is disabled.VT might be disabled if it has been disa

2015-08-23 09:11:11 5717

转载 windows下SecureCRT无法使用backspace(空格键)和上下左右键

在使用SecureCRT登陆liunx(我的为CenterOS)系统,发现删除(backspace)键、和上下左右键不起作用,郁闷了很久没有找到解决办法,今天终于看到了一篇有用的文章,在此记录一下!解决方法:  先打开Options–>Session Options–>Terminal–>Emulation(中文:选项–>回话选项–>终端–>仿真) 界面下 :

2015-08-12 15:19:51 874

转载 使用vsphere client 克隆虚拟机

免费的VMWare ESXi5.0非常强大,于是在vSphere5.0平台中ESXi取代了ESX.,使用ESXi经常会遇到这样的问题,我需要建立多个虚拟机,都是windows2003操作系统,难道必须一个一个安装吗?VMware ESXi、VMware vCenter Server 和 vSphere Client,它们分别是 vSphere 的虚拟化层、管理层和接口层。作为接口层的vSp

2015-08-11 10:06:19 1867

转载 SecureCRT中文显示乱码

环境:SecureCRT登陆REDHAT5.3 LINUX系统问题:vi编辑器编辑文件时文件中的内容中文显示乱码,但是直接使用linux系统terminal打开此文件时中文显示正常,确诊问题出现在客户端即SecureCRT的显示问题解决方法:1、修改远程linux机器的配置 [root@rhel ~]#vi /etc/sysconfig/i18n  把LAN

2015-08-08 10:50:44 687

转载 Oracle 64bit 在redhat下内存如何调大

目前,企业级高端PC服务器配置超大内存(比如,64GB,128GB。)+ Linux + Oracle来构建数据库平台变的越来越多。如何提高这个平台的性能自然受到很多人的关心,而怎样调整Oracle SGA充分利用大内存则成为首先需要解决的性能问题。首先,我们需要了解几个要点:1.         在Linux平台下,Oracle SGA ≤最大共享内存段<最大共享

2015-08-07 09:59:23 704

转载 ORACLE坏块总结

转自:http://blog.itpub.net/25472150/viewspace-688629/Oracle数据库出现坏块现象是指:在Oracle数据库的一个或多个数据块(一个数据块的容量在创建数据库时由db_block_size参数指定,缺省为8K)内出现内容混乱的现象。由于正常的数据块都有固定的合法内容格式,坏块的出现,导致数据库进程无法正常解析数据块的内容,进而使数据库

2015-08-01 09:19:47 536

原创 一些问题的罗列以及解决

一、RMI二、网络爬虫三、spring VS ejb四、ejb的分布式五、

2014-10-27 21:17:47 661

原创 我家的网络

1.电信安装网络给的猫:华为HG8245

2014-10-19 00:50:31 741

转载 oracle11g安装部分参数修改

SQL> alter system set aq_tm_processes=10 scope=both;   指定队列监视进程个数,默认值为0,最大值为10SQL> alter system set open_cursors=500 scope=both;           开启游标数SQL> alter system set open_links=255 scope=spfil

2014-06-03 09:45:05 1060

原创 一些常用网址

1.   程序员网 :  http://www.pudn.com/2.   企业图片网:

2014-05-01 12:55:06 757

原创 将Throwable的信息转换为字符串

/** * 将异常信息转化成字符串 * @param t * @return * @throws IOException */ public static String exception(Throwable t) throws IOException{ if(t == null) return null; ByteArrayOutpu

2014-04-25 15:28:01 2444

原创 log4j存文件和数据库的配置文件

#log4j.rootLogger=ERROR,logfile,DATABASElog4j.logger.logfile = ERROR,logfile log4j.logger.DATABASE = ERROR,DATABASE log4j.appender.stdout=org.apache.log4j.ConsoleAppenderlog4j.appender.stdout.l

2014-04-25 15:16:41 610

原创 js正则表达式判断

/** * 只能输入字母 * * @param obj * @return */function clearNoAbc(obj) { obj.value = obj.value.replace(/[\u4e00-\u9fa5]/g, '');}/*** 只能输入整数*/onkeyup="this.value=this.value.match(/^-?[0-9]\d*$

2014-02-27 14:58:11 688

原创 table隔行变色的css

Css3实现表格隔行变色或隔列变色.tableStyle { border-collapse:collapse; border-spacing:0;}.tableStyle tr { background-color: expression((this.rowIndex % 2 == 0) ? "#F4F4F4" : "#FFF" ); }.tableStyle tr:

2014-02-25 10:27:33 3313

原创 rose2003-rational_perm

PACKAGE RSPS_Evaluation rational 1.5 1C0AB0D69C73 \ COMPONENTS="PerformanceStudioSuite:1.0:1 \ LTmaster:7.1:1 LTgui:7.1:10 LTvu:7.1:100 \ LTjolt:7.1:1 LTtux:7.1:1 LTsql:7.1:1 \ LThttp:7.1:1 LTsap:

2014-02-07 17:31:23 1298

原创 myeclipse设置代码提示

1、快捷键提示代码    window-->Preferences的General-->Keys下修改Content Assist的快捷键为Alt+/,这样就可以通过快捷键得到提示代码    一般,快捷键默认就是Alt+/2、自动提示    window-->preferences的java->Editor->Code Assist,选上Enable auto activa

2014-02-04 15:32:29 807

原创 tomcat的一些设置

1. 设置tomcat的访问路径:修改conf/server.xml,在中间添加2. 在myeclipse中设置tomcat的内存

2014-02-04 15:12:00 688

原创 ORACLE采用批处理命令简单备份数据库

del F:\ORADATA\AGWS1007.dmpren F:\ORADATA\AGWS1006.dmp AGWS1007.dmpren F:\ORADATA\AGWS1005.dmp AGWS1006.dmpren F:\ORADATA\AGWS1004.dmp AGWS1005.dmpren F:\ORADATA\AGWS1003.dmp AGWS1004.dmpren F:\O

2014-01-23 08:44:11 969

原创 ORACLE的SQL集锦

1. 一张自关联的表,查询的结果集要求先显示父数据,再显示其子数据。其中下面语句中的-1就是父数据SELECT A.* FROM XT_NOSAFE_VINDI A START WITH A.PARENT_CODE='-1'CONNECT BY PRIOR A.FACTOR_CODE=A.PARENT_CODE ORDER SIBLINGS BY A.FACTOR_CODE结果集:1

2014-01-19 19:14:48 842

原创 ORACLE修改数据结构语句

1. 添加序列DECLARE V1 NUMBER;BEGIN SELECT COUNT(1) INTO V1 FROM USER_SEQUENCES WHERE SEQUENCE_NAME='UN_TB_TJ_SICKNES';IF V1 = 0THEN EXECUTE IMMEDIATE'CREATE SEQUENCE UN_TB_TJ_SICKNESMINVALUE 0MAX

2014-01-19 19:09:42 1497

原创 JAVA工具类(二)

/* * Copyright (c) 2005 Your Corporation. All Rights Reserved. */package com.chis;/** * Created by IntelliJ IDEA. User: Shi Tiejun Date: 2005-12-1 Time: 10:35:46 To * change this template use F

2014-01-18 10:51:45 788

原创 JAVA工具类

package com.chis;import java.math.BigDecimal;import java.text.DecimalFormat;import java.text.NumberFormat;import java.util.ArrayList;import java.util.Collection;import java.util.Collections;im

2014-01-18 10:50:16 1304

原创 JAVA 汉字转全拼

package com.chis;import java.util.Iterator;import java.util.LinkedHashMap;import java.util.Set;/** * 汉字转化为全拼 * * JDK版本: 1.4 */public class SpellTestUtil { private static LinkedHashMap s

2014-01-18 10:48:48 1375

原创 JSF1.2上传下载

package com.chis.web.util;import java.io.File;import java.io.FileInputStream;import java.io.IOException;import java.io.StringWriter;import java.net.URLEncoder;import java.util.Map;import java

2014-01-18 10:46:46 1007

原创 java的一些时间格式转换

package com.chis.util;/** * Title: 时间格式转化与计算 */import java.util.ArrayList;import java.util.Calendar;import java.util.Date;import java.util.GregorianCalendar;import java.util.LinkedHashMap;i

2014-01-18 10:41:48 1293

原创 SERVLET显示图片

1. 工具类package com.chis.web.util;import java.awt.image.BufferedImage;import java.io.FileInputStream;import java.io.IOException;import javax.imageio.ImageIO;import javax.servlet.ServletOutputSt

2014-01-18 10:40:11 3353

原创 ORACLE的一些函数、存储过程范例

1. F_GETDIGISTSBYRSTCREATE OR REPLACE FUNCTION F_GETDIGISTSBYRST(RSTID IN INTEGER) RETURN VARCHAR2 IS RESULT VARCHAR2(1000); CURSOR C_JOB IS SELECT D.SLDIGISTTABLE_SELECT, D.SLDIGISTTABLE_N

2014-01-16 14:56:58 986

原创 linux下将weblogic10定时启动

分三大步骤:* 将产品模式的weblogic设置不需要输入密码* 将weblogic的启动制作成服务,顺便开机自启动* 利用linux的crontab命令,定时启动weblogic服务一设置不许要密码weblogic目录下 /domains/base_domain/servers/AdminServer/下创建security文件夹,在此文件夹下创建文件bo

2013-12-26 09:21:07 2138

转载 Linux crontab详解

为当前用户创建cron服务1.  键入 crontab  -e 编辑crontab服务文件      例如 文件内容如下:     */2 * * * * /bin/sh /home/admin/jiaoben/buy/deleteFile.sh      保存文件并并退出     */2 * * * * /bin/sh /home/admin/jiaoben/buy/dele

2013-12-26 09:20:00 784

转载 Oracle 动态性能表 v$session & v$process

v$session SADDR: session address SID: session identifier,常用于连接其它列。 SERIAL#: sid 会重用,但是同一个sid被重用时,serial#会增加,不会重复。 AUDSID: audit session id。可以通过audsid查询当前session的sid。select sid from v$s

2013-11-27 16:35:22 801

转载 oracle数据泵expdp&impdp

数据泵导出实用程序提供了一种用于在 Oracle 数据库之间传输数据对象的机制。该实用程序可以使用以下命令进行调用:示例: expdp scott/tiger DIRECTORY=dmpdir DUMPFILE=scott.dmp您可以控制导出的运行方式。具体方法是: 在 ‘expdp’ 命令后输入各种参数。要指定各参数, 请使用关键字:格式:  expdp KEYWORD=v

2013-11-27 10:29:05 908

原创 几个漂亮的按钮样式

几个漂亮的按钮样式

2013-11-25 09:30:13 2938

转载 ORACLE创建管理索引

ORACLE索引管理之 索引管理的指导原则转载自[ www.FYCoder.com ]    ORACLE索引管理需要遵从一系列的指导原则,包含以下内容:    插入表数据之后再创建索引    针对合理的表和列创建索引    对索引列的顺序进行排列来提升性能    限制每个表的索引的个数    删除不再需要的索引    估计索引的大小并指定存储参数    为每个索引

2013-11-22 11:08:15 918

原创 MyEclipse8.6注册码源码

package com.register;public class MyEclipseGen { private static final String LL = "Decompiling this copyrighted software is a violation of both your license agreement and the Digital Millenium Cop

2013-11-21 19:31:22 854

IP地理坐标,IP城市,geoip,mmdb

这是一个ip地址与地理位置信息的一个数据库。通过程序编写连接数据库,可用于根据传入的ip地址,去解析所属的国家,城市。

2020-07-29

viewbin_tools.zip

Viewbin是一个用于查看Windows CE的操作系统镜像数据信息的小程序,它可以看到的镜像信息包括:镜像运行时准确的长度信息、包含在镜像中的文件和可执行的模块以及镜像中所包含的符号信息。

2020-07-04

mysqlsla-2.03

mysql的日志分析工具,可分析mysql的Binlog日志,阿里社区中找的

2019-01-25

DELLR820 OEL5.5网卡驱动

DELL R820默认不支持OEL5.X,安装好OEL5.X之后,无网卡驱动,需要安装

2015-12-03

propedit_6.0.3

myeclipse,eclipse打开properties中文显示

2015-08-27

spring3.2 中文文档 高清完整PDF版

spring3.2的中文参考手册,可以下载看看,查阅查阅

2014-11-09

oracle_11g_R2_RAC原理解读

Oracel11gR2的RAC集群原理解读,比较详细,值得一看

2013-12-26

window资源查看

查看某个进程中的线程,根据线程去查找占用资源的原因

2013-11-27

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除