自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(43)
  • 资源 (3)
  • 收藏
  • 关注

原创 安装mathtype到word2013遇到错误提示“The MathType Dll cannot be found.”

我遇到的问题是word提示“The MathType Dll cannot be found.”网上有三种方法,一是删除C:\Users\AppData\Roaming\Microsoft\Word\STARTUP文件夹,我试过没用;二是复制MathPage.wll文件到“D:\Program Files\Microsoft Office\Office16”中粘贴,替换,也不行;三是,...

2020-02-13 11:14:09 350 2

原创 GVIM的配置与使用

使用VIM,你可以完全解放鼠标,只用到大键盘就可以完成所有操作,非常的方便快捷,可以让我更加专注设计。GVIM的配置,只需要配置_vimrc文件即可,想要什么功能都可以自己配置,比如我喜欢的光标不闪烁;括号自动补全,并跳出括号;列操作;在insert模式下,使用pp自动补全,hh左移,jj下移等。以下内容分两部分,一是GVIM自带的命令汇总,二是自定义的规则,便于我快捷写verilog代码...

2019-12-05 16:24:39 1164

原创 github上传代码文件

1.安装git bash,图标如下:2.在github上新建仓库Repositories,如下:3.clone with https,如下:4.在本地创建一个github文件夹(注意路径不要有中文)5.启用git bash,并切换到github目录下。如下:6.输入如下命令:(1).clone with https: git clonehttps://g...

2019-06-22 12:16:08 264

原创 vivado封装IP,将复位信号改为高有效

首先声明一下,提供一下源代码,vivado封装IP并调用。模块的内部是高有效,但是IP外壳却是低有效(外壳有一个小圆圈),需要将外壳的小圆圈去掉。如下:改为然后Edit in IP Package打开IP的工程。选择Port and Interfaces,如下:双击Interface Mode下的slave,会进入Edit Interface,如下:(加入POLARITY ACTI...

2019-06-16 16:41:18 1915

原创 在modelsim中调用PLL IP核

在modelsim中调用PLL IP核时,经常会出现一种奇怪的现象,就是从quartus中调用PLL的时候,没有任何问题,波形完美,但是在modelsim中调用PLL IP核时就是出现PLL没有输出信号,一直是HiZ(高阻态)。首先,检查是否编译了仿真库altera_mf.v其次,将pll_bb.v文件删除,不要放在文件目录下,这个文件没有用,无论是在quartus/modelsim中。...

2019-05-14 22:31:49 1477

原创 vivado HLS 的设计优化

最近正在学习vivado HLS,通过ug871和ug902学习HLS,ug871文档提供demo,ug902提供更为详细的解释。ug871看到第6章设计分析章节时,看到文档一处出现“瓶颈”的地方,ap_clk始终没能够满足要求。demo中前几步还在解决这个问题,后几步就完全不管了,直到最后。(虽然达到了最初Interval目标)总结一下:出现瓶颈的两个原因:一定数量的IO端口/BRA...

2019-03-24 18:40:04 536

原创 python函数修饰符@

在程序中看到有@,不知为何?百度get!def test(f): print('before...') f() print('after...')@testdef func(): print('func was called')直接输出结果:before...func was calledafter...由此可以看出函数调用过程,值得...

2019-03-19 11:43:39 541

原创 快速掌握Python模块的用法

首先就是找到Python的文档,在IDLE中Help->Python Docs选项,打开Python的官方帮助文档。然后,在Python Docs中索引到你需要学习的模块,并打开,关于这个模块的所有信息都在这里了,你可以查看相应的信息,包括这个模块里边包括哪些类,函数,变量及其功能和用法,都有。但是这样的学习,有点困难,量大,费时。最后,可以利用IDLE,查看你需要的信息。先在I...

2019-03-09 16:22:15 220

原创 N个数中找出第k个最大的数----选择问题

设有一组N个数而要确定其中第k个最大者,称之为选择问题。解法一:将N个数镀金一个数组中,再通过某种简单的算法,比如冒泡排序法,以递减顺序将数组排序,然后返回位置k上的元素。运行时间为O(N^2)。解法二:先把前k个元素读入数组并(以递减的顺序)对其排序,接着,将剩下的元素在逐个读入。当新元素被读到时,如果他小于数组中的第k个元素则忽略,否则就将其放到数组中正确的位置上,同时将数组中的一个元...

2018-10-24 16:33:28 3344

原创 通过串口下载程序之硬件调试

最近在做强电的驱动控制电路,驱动电路暂且不谈,控制电路是用51单片机控制的,这就涉及到程序的下载,于是就用到串口下载程序。我的51单片机最小系统是用AC220V转DC5V,给单片机最小系统供电,但是利用串口下载程序,需要冷启动。当我把串口的VCC5V、GND、TX、RX接在电路中的时候,发现下载不了程序。为什么呢?找了好久,终于找到。  因为VCC5V、GND插上的时候,给AC...

2018-10-18 21:30:27 767

原创 C语言指针的陷阱·

看到一道题,如下:请问一下,答案是多少?  相信很多人都会做出1,2的答案,我也是。其实答案是2,2.刚开始我不信,就在vs上敲了代码进行验证,如下图:void main(){ int arr[] = { 1,2,3 }; int *p = arr; //test1 printf("%d,%d\n", *p, *(++p)); //输出2,2 //tes...

2018-09-08 16:16:12 575

转载 N元线性函数拟合的C++实现

一元线性方程可以看做是多元函数的特例,现在用矩阵形式表述多元函数情况下,最小二乘的一般形式: 设拟合多项式为:各店到这条曲线的距离之和,即偏差平方和如下:对等式右边求ai的偏导数,得到:                       ......把这些等式表示成矩阵的形式,就可以得到下面的矩阵:(3)进行化简计算:上面公式(3)可以写为:...

2018-08-27 13:49:31 1887

转载 一元线性回归模型与最小二乘法及其C++实现

本博文是参考网友的博文:https://blog.csdn.net/qll125596718/article/details/8248249 以及https://blog.csdn.net/piaoxuezhong/article/details/54973750一元线性方程参数估计为例,样本回归模型:残差平方和:则通过Q最小确定这条直线,即确定,以为变量,把它们看做是Q的函数...

2018-08-25 15:44:06 606

原创 Linux:使用awk命令获取文本的某一行,某一列

1、打印文件的第一列(域) : awk '{print $1}' filename2、打印文件的前两列(域) : awk '{print $1,$2}' filename3、打印完第一列,然后打印第二列  : awk '{print $1 $2}' filename4、打印文本文件的总行数 : awk 'END{print NR}' filename5、打印文本第一行 :awk 'NR==...

2018-08-24 16:26:43 3123

原创 计算平方根(牛顿迭代法)

参考网友的博文:https://blog.csdn.net/w20810/article/details/49030961发现有两种实现办法,如下:#include <math.h>#include <time.h>#include <iostream>using namespace std;double sqrt1(double x)...

2018-08-07 12:18:39 1771

原创 交换两个数的函数--C语言实现

最近看到一个很巧妙的算法,通过连续三次异或运算交换a与b。代码如下:void Swap(int a,int b){ a ^= b; b ^= a; a ^= b;}

2018-04-08 11:15:43 273

原创 数据结构之分而治之算法的问题

我在网上看了陈越老师的数据结构,很棒,讲了分而治之算法,原理我已经懂了,代码网上也有,但是有一个疑惑,就是中间跨越部分最大的子列和为iRightMaxSum + iLeftMaxSum,但是很明显这个iRightMaxSum + iLeftMaxSum是不对。如下图举例。如图,左边最大为6,右边最大为8,而中间跨越最大为11<(6+8)。故这个iRightMaxSum + iLeftMaxS...

2018-04-05 22:34:42 1298 5

原创 matlab生成mif文件

fid=fopen('./test.mif','W' ); % test.mif is the file which you want to use in Quartus II %fprintf(fid,'WIDTH=2;\n'); % WIDTH is the word band %fprintf(fid,'DEPTH=4096;\n\n'); % DEPTH is the the numb...

2018-03-17 00:07:46 1378

转载 Linux下C++的编程——GDB进行程序调试

http://blog.csdn.net/luoweifu/article/details/502761971.g++ -g -o xxx xxx.cpp2.l   查看源代码  l num 查看num行的源代码3.b num 在num行加断点4.r开始执行程序5.p xx(变量) 打印xx的值6.c  执行到下一次断点7.n 执行一行代码,单步跟踪(step over)8.s 单步跟中进入 (s...

2018-03-13 18:41:44 257

转载 C++中引用(&)的用法和应用实例

C++中引用(&)的用法和应用实例转载大神的博文,链接:https://www.cnblogs.com/Mr-xu/archive/2012/08/07/2626973.html

2018-01-23 13:10:19 119

原创 基于OpenCV的静态图像人脸识别

基于OpenCV的人脸识别,主要是用到了训练好的haarcascade_frontalface_default.xml文件,这是OpenCV自带的开源库文件,只需要加载进程序之中即可。程序如下:#include #include using namespace std;using namespace cv;//训练文件路径//Note:路径需要用双右下划线,即"\\"str

2018-01-16 21:58:57 1727

转载 OpenCV矩阵操作

转载博主鼠牛虎兔的相关文章http://blog.csdn.net/cc1949/article/details/22476251写的很棒!

2018-01-15 23:17:58 163

原创 基于OpenCV的视频压缩-对数极坐标格式

使用cvCreateVideoWriter函数,可以将视频流写入视频文件;使用cvLogPolar函数,可以将每一帧图像转换为对数极坐标格式,最后将转换后的图像序列写入新的视频文件中。//将一个video转换为灰度级#include #include int main(int argc, char* argv[]) { CvCapture *capture = 0; ca

2018-01-15 15:14:09 676

原创 基于OpenCV的Canny边缘检测

OpenCV库是一个开源的集成库,用于图像处理非常方便,下面是我做的基于OpenCV的Canny边缘检测。这是我的main.cpp#include #include #include #include #include "TestImage.h"int main(int argc, char** argv){ IplImage* img = cvLoadImage

2018-01-14 20:30:28 378 1

转载 Ubuntu16.04安装32位支持库

http://blog.csdn.net/bfboys/article/details/53013662附上大神的链接,亲测可用!

2017-12-15 18:55:17 3171

原创 zedboard与linux系统ping通的问题?

一来,老板就给我买了一块zedboard板子,各种测试都已经成功,除了zedboard与linux系统ping通的问题。首先,pc和zedboard之间可以ping通,pc和linux系统之间可以通过ftp服务器传输文件。但就是zedboard与linux系统不能ping通,不知为何(现象就是ping的时候,一直等待传输数据)?zedboard和linux的IP地址也在同一个网段,分别是192

2017-12-14 21:56:54 359

原创 xilinx中的SDK的C代码不懂的点

这是我做的axi_pwm的IP核,32位,占空比50%,C代码程序将10000写入到reg0中,将0x80000000+5000写入reg1中(为什么有0x80000000?)

2017-12-13 21:54:05 2790

原创 在xilinx SDK中查询API函数的方法

当我们使用vivado搭建好硬件设计后就要在SDK下进行程序编写了,在SDK中我们可以建立C/C++工程,所以就有很多的库函数可以调用,那么问题来了,如何查询我想要的API函数呢?或者是该API函数如何使用?下面我将以GPIO的IPCore为例介绍如何查询API函数。1.将vivado设计好的硬件设计导入到SDK中,就可以把SDK代码编辑区的变迁也让切换到system.mss页面,可以看到

2017-12-11 22:17:46 5907

原创 学习C++的推荐用书

学习C++,我推荐,《21天学通C++》第4版,中国工信出版集团。内容简洁,其中有大量的例子,供你参考。

2017-10-30 22:46:32 388

原创 c++中的多重继承和虚函数

c++中的多重继承和虚函数不懂的地方如下:#include using namespace std;class base{public: virtual ~base() {}; virtual void func() const { cout << "base output!" << endl; }};class derived1 :

2017-10-28 17:09:07 454

原创 c++的虚函数使用

虚函数的使用之前的代码如下:#include using namespace std;class base //定义基类base{ int x, y; //定义基类私有成员public: base(int xx = 0, int yy = 0) //定义构造函数 { x = xx; y = yy;

2017-10-28 16:25:56 224

原创 8位并入,1位串出的移位寄存器

今天,有朋友叫我写一个8位并入,1位串出的移位寄存器。在这里就当复习了移位寄存器了,笑。移位寄存器,比较简单,就不介绍了,直接上代码和测试文件。顶层文件如下:由计数器自加1,为移位寄存器提供控制信号。移位寄存器部分,分为三部分,cnt>0,cnt=0和cnt在cnt>0的条件下,从低7位向高7位左移一位;在cnt=0的条件下,将din赋值给d_reg;在cnt最后只需将d_re

2017-07-01 21:28:38 13523 2

原创 VGA练习之图像的动态显示(后续)

本文是自己对VGA图像显示的练习,附上自己在博客链接http://bbs.ednchina.com/BLOG_ARTICLE_3032085.HTM

2016-05-11 09:05:57 670

原创 串口通信UART--读书笔记

本文是自己对串口通信UART协议的总结,附上在ednchina上的博客链接http://bbs.ednchina.com/BLOG_ARTICLE_3030251.HTM

2016-05-11 09:02:37 303

原创 I2C通信--读书笔记

本文是自己对IIC协议的总结,附上自己在ednchina上的博客链接http://bbs.ednchina.com/BLOG_ARTICLE_3030253.HTM

2016-05-11 08:59:34 287

原创 SRAM读写实验--读书笔记

该文章,为个人原创,只是自己对SRAM读写实验的一点总结,附上我在ednchina上的博客链接http://bbs.ednchina.com/BLOG_ARTICLE_3030256.HTM

2016-05-11 08:57:04 932 1

原创 同步异步复位的区别

同步异步复位知识同步复位:跟时钟clk有关异步复位:跟时钟clk无关。 什么时候用到同步,异步复位?答:当clk不稳定时,应该用到异步复位;当clk稳定时,可以用到同步复位。 异步复位:(敏感信号列表中有negedge rst_n)always@(posedge clkor negedge rst_n)    if(!rst_n)        ....

2015-12-19 20:37:08 659

原创 VGA接口时序练习之图像动态显示

Vga练习之动态显示图案Vga接口应用,并在电脑显示屏上显示功能:每隔3s变化一次,a~e循环变换a.全红b.全白c.2*2  4部分,分别是:红,蓝,绿,黄d.3*3  9部分,分别是:红黄蓝绿黑紫白青粉e.150*100矩形边框,边缘是20宽度的绿色框,其他为白色 分辨率:600*480 VGA支持的规格:1.水平时序:分辨率

2015-12-14 13:31:49 4760 1

原创 16位计数器之元件例化的学习

16位全加器         通过该实验,学习元件例化。1个16位全加器由4个4位全加器构成;1个4位全加器由4个1位全加器构成;1位全加器由两个半加器加上一个或门构成;一个半加器由门级电路构成。如下图:顶层文件:第二层:1个16位全加器由4个4位全加器构成;第三层:1个4位全加器由4个1位全加器构成;第四层:1位全加器由

2015-12-13 13:57:27 1357

原创 MATLAB学习之滤波器设计

MATLAB 进制之间的转换1.    十进制整数转二进制函数dec2bin 如果已知绝对值小于1的十进制数D,如何转换成B比特的二进制数原码?Dec2bin(round(abs(D)*2^(B-1))+(2^(B-1))*(D2.    十进制整数转换成补码形式的二进制数Dec2bin(round(D*2^(B-1))+2^B*(D

2015-12-06 21:43:57 7098

零基础学习python全套课后题

里面包含零基础学习python全套课后题,本人已经确认过。

2018-12-11

数据结构与算法分析(C语言描述)原书第2版课后答案

数据结构与算法分析(C语言描述)原书第2版 1-12章的课后答案。

2018-10-13

ZedBoard Getting Started Guide

zedboard提供的一个官方文档,写的超级详细!里面提供了GPIO,LED,OLED Display,VGA Display,HDMI,Ethernet,USB-OTG,以及SD Card等部分相应的测试。里面还有一处错误,我已经更正在其中了.

2017-12-14

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除