Cache之全相连映射

理解:全相连映射允许主存中每一个字块映射到Cache中的任何一块。
这种方式灵活,命中率也高,但是:
主存字块的标记位就不是t位而是t+c位了。
对应的,Cache的标记位也变成了t+c位。

通常按照内容寻址。

  • 5
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
相连Cache映射是一种Cache映射方式,它的设计过程包括以下几个步骤: 1. 确定Cache的大小和Cache行的大小 全相连Cache映射方式将主存中的每一个字节都映射Cache中的一个Cache行中,因此,Cache的大小和Cache行的大小需要根据主存大小和字节大小来确定。一般情况下,Cache的大小和Cache行的大小都是2的整数次幂,如64KB、128KB等。 2. 确定Cache行的结构 每个Cache行包括一个标签和一个数据块,因此需要设计一个结构体来表示Cache行,如下所示: ```verilog typedef struct { logic [31:0] tag; //标签 logic [31:0] data; //数据块 } cache_line_t; ``` 其中,标签用于标识主存中的地址,数据块用于存储主存中的数据。 3. 确定Cache的结构 全相连Cache由多个Cache组成,因此需要定义一个二维数组来表示Cache,如下所示: ```verilog cache_line_t cache [CACHE_SIZE][CACHE_WAY]; ``` 其中,CACHE_SIZE表示Cache的大小,CACHE_WAY表示每个Cache行包含的数据块个数。 4. 确定Cache的读操作和写操作 当CPU发出一个读操作时,需要将主存地址映射Cache中对应的Cache行,并返回相应的数据块。当CPU发出一个写操作时,需要将主存地址映射Cache中对应的Cache行,并将数据写入到Cache中。读操作和写操作的实现可以采用遍历所有Cache行的方式,找到匹配的Cache行并返回相应的数据块或将数据写入到相应的Cache行中。读操作和写操作的实现可以参考以下代码: ```verilog function logic [31:0] cache_read (logic [31:0] addr); logic [31:0] tag = addr >> CACHE_OFFSET_BITS; logic [31:0] index = addr[CACHE_INDEX_BITS - 1 : CACHE_OFFSET_BITS]; logic [31:0] offset = addr[CACHE_OFFSET_BITS - 1 : 0]; for (int i = 0; i < CACHE_WAY; i++) begin if (cache[index][i].tag == tag) begin return cache[index][i].data[offset +: 4]; end end return main_memory[addr]; endfunction task cache_write (logic [31:0] addr, logic [31:0] data); logic [31:0] tag = addr >> CACHE_OFFSET_BITS; logic [31:0] index = addr[CACHE_INDEX_BITS - 1 : CACHE_OFFSET_BITS]; logic [31:0] offset = addr[CACHE_OFFSET_BITS - 1 : 0]; for (int i = 0; i < CACHE_WAY; i++) begin if (cache[index][i].tag == tag) begin cache[index][i].data[offset +: 4] = data; return; end end main_memory[addr] = data; endtask ``` 其中,CACHE_OFFSET_BITS和CACHE_INDEX_BITS表示主存地址中用于表示偏移量和索引的位数。 5. 确定Cache的替换策略 当Cache行已满时,需要替换一个Cache行以腾出空间存储新的数据块。全相连Cache可以使用LRU替换策略或随机替换策略。LRU替换策略将最近最少使用的Cache行替换掉,随机替换策略随机选择一个Cache行进行替换。 6. 确定Cache的写回策略 当CPU修改某个数据块时,需要将修改的数据块写回到主存中。全相连Cache可以使用写回策略或写直达策略。写回策略将修改的数据块暂时保存在Cache中,当Cache行被替换时才将修改的数据块写回到主存中;写直达策略将修改的数据块立即写回到主存中。 7. 确定Cache的写分配策略 当CPU将某个未在Cache中的数据块写入到Cache中时,需要先将这个数据块从主存中读取到Cache中。全相连Cache可以使用写分配策略或非写分配策略。写分配策略将未在Cache中的数据块先读取到Cache中,再进行写操作;非写分配策略直接将数据块写入到主存中,不进行Cache的读取操作。 综上所述,全相连Cache映射的设计过程包括确定Cache的大小和Cache行的大小、确定Cache行的结构、确定Cache的结构、确定Cache的读操作和写操作、确定Cache的替换策略、确定Cache的写回策略以及确定Cache的写分配策略。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值