自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Tony的专栏

技术爱好者的交流园地,将最繁杂的技术用最清晰的语言详细的表达。

  • 博客(10)
  • 收藏
  • 关注

原创 Matlab和Modelsim联合仿真

图文兼备,一步一步详细介绍了在Matlab的Simulink中调用Modelsim仿真HDL代码的设置步骤。

2015-02-15 09:56:12 12321 6

原创 三分频50%占空比电路的VHDL可综合代码

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;------------------------------------------entity div3 isport(    clk_in    :    in    std_logic;    clk_out    : 

2015-02-14 21:52:06 2413

原创 一个32位DDS的可综合代码

功能描述:    累加器32位    频率控制字27位,高位屏蔽,内部设置为0    输出地址宽度11位,可带2k ROMlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;--------------------------------------------------

2015-02-14 21:51:21 857

原创 SPWM控制器的全数字设计

基于DDFS原理进行调频的SPWM信号发生器。

2015-02-14 21:49:24 679

原创 Verilog中Task语句可综合设计实例

此程序在Xilinx ISE综合工具中实现了综合和布局布线后仿真,功能正确。感兴趣的可以一起讨论研究。`timescale 1ns / 1psmodule TaskLearn(clk , reset , signal);input clk , reset;output reg signal;reg [3:0]counter;reg [3:0]state;

2015-02-14 21:47:43 3628

原创 恒精度频率计的Verlog可综合代码

采用时钟频率为2MHz,不同的时钟频率需要修改相应的参数。在此只给出本时钟下的程序,其他时钟下请自行修正。module Cymometer(clk, reset, signal, FreqNs, FreqNx, Freq);parameter clk_freq = 2_000_000;/*clk为2MHz*/input   clk;input   reset;inp

2015-02-14 21:47:14 616

原创 恒精度频率计的VHDL可综合代码

采用时钟频率为2MHz,不同的时钟频率需要修改相应的参数。在此只给出本时钟下的程序,其他时钟下请自行修正。------------------------------------------------------------------------------------ Company: XJTU-- Engineer: 唐剑峰-- -- Create Date:   

2015-02-14 21:44:04 833 1

原创 在modelsim中添加altera库

图文兼备,一步一步详细的介绍了ModelSim编译Altera库的方法。

2015-02-14 21:41:25 5652 2

原创 Debussy-54v9-NT设置默认编辑器为Notepad++

图文兼备,详细介绍了HDL调试工具Debussy设置默认编辑器的方法。

2015-02-14 21:35:34 892 2

原创 Debussy-54v9-NT安装步骤

图文兼备,详细介绍HDL调试及代码查看工具软件Debussy的安装过程。

2015-02-14 20:40:56 2420

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除