verilog程序,ISE 10.1环境下,检查语法和仿真均可,综合出错“ this signal is connected to multiple drivers.”

URL: http://blog.csdn.net/jbb0523/article/details/6946899

背景:Xilinx公司的FPGA  ,ISE 10.1 开发环境,  verilog HDL语言

问题描述:检查语法没有错误,用modelsim仿真也可以,但综合时出错,错误如下:

 

ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toSlv> on signal <MeasureFrame<56>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toSlv> on signal <MeasureFrame<48>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toSlv> on signal <MeasureFrame<40>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toSlv> on signal <MeasureFrame<32>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toSlv> on signal <MeasureFrame<24>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toSlv> on signal <MeasureFrame<16>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toSlv> on signal <MeasureFrame<10>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toSlv> on signal <MeasureFrame<0>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toFwd> on signal <MeasureFrame<40>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toFwd> on signal <MeasureFrame<32>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toFwd> on signal <MeasureFrame<24>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toFwd> on signal <MeasureFrame<16>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toFwd> on signal <MeasureFrame<10>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toFwd> on signal <MeasureFrame<0>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toPC> on signal <MeasureFrame<40>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toPC> on signal <MeasureFrame<32>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toPC> on signal <MeasureFrame<24>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toPC> on signal <MeasureFrame<16>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toPC> on signal <MeasureFrame<10>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toPC> on signal <MeasureFrame<0>>; this signal is connected to multiple drivers.

 

此类错误系将某同一个reg变量在多个个always块中进行了赋值操作,此类程序是不可综合的,因此须修改程序。

 

切记,对于同一个reg型变量只能在一个always块中对其值进行修改,当然在其它块中可以引用其值!

 

其实这种错误是可又理解的,试想两个always都在时钟的驱动下工作,如果,我说是如果,在同一个时钟时刻,在两个alway块中对同一reg型赋值条件都满足,那么你让FPGA该怎么做呢?让它听谁哪个always块的呢?

verilog最终是要生成电路在FPGA里面,这让FPGA情何又堪?如何生成电路?


  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值