自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(868)
  • 资源 (11)
  • 收藏
  • 关注

转载 a.ou、coff、elf三种文件格式

补充:a.out早期并不是elf格式的,而是unix下另一种可执行格式,新的a.out是  本文讨论了 UNIX/LINUX 平台下三种主要的可执行文件格式:a.out(assembler and link editor output 汇编器和链接编辑器的输出)、COFF(Common Object File Format 通用对象文件格式)、ELF(Executable and Li...

2018-10-27 13:22:50 1290

转载 CCS中各个项目文件的作用

1.include头文件(.h)的主要作用头文件,一般用于定义程序中的函数、参数、变量和一些宏单元,同库函数配合使用。因此,在使用库时,必须用相应的头文件说明。2.DSP/BIOS CONFIG FILES开发基于DSP/BIOS的程序保存BIOS配置之后自动产生的文件。在保存BIOS配置时候会产生program.cbd/programcfg.h54/programcfg.s54/progr...

2018-10-27 13:20:07 10547

转载 memcpy-结构体的复制

一、典型例子一个memcpy的结构体的复制,类似于C++中调用类的拷贝构造函数,用一个对象去初始化另一个对象!#include "stdio.h"#include "string.h"void main(void){struct s1{    char *name;    int age;}stu1={"wangqiao",24};struct s1 s2;memcpy...

2018-10-27 13:10:35 32550 1

转载 数组,结构体初始化为0

一直以为 int a[256]={0};是把a的所有元素初始化为0,int a[256]={1};是把a所有的元素初始化为1.调试的时查看内存发现不是那么一回事,翻了一下《The C++ Programming Language》总算有定论。PDF的竟然不然复制,就把它这章翻译了,如下5.2.1   数组初始化数组可以用一个列值来初始化,例如         int v1[] ={1,2...

2018-10-27 13:05:29 30777

转载 CCS代码编辑的几个常用设置

1、代码的折叠代码写多了很长,不方便查看,这时可以右键单击行号左边任意空白处,在弹出的菜单中选择Folding-->Enable Folding,这时每个函数名字的左边便出现了一个折叠的符号,可以进行函数的折叠,如图:代码折叠后:2、代码的自动批量注释一般的编译器都有这个功能,我大CCS怎能没有?直接上快捷键,选中要注释的代码行,同时按下“CTRL”键和“/” 键,取消注释也是同样的...

2018-10-27 12:52:38 17587 1

转载 VS下调用Matlab引擎

VS2008是当前主流的应用程序开发环境之一,开发环境强大,开发的程序执行速度快。但在科学计算方面函数库显得不够丰富、读取、显示数据图形不方便。Matlab是一款将数值分析、矩阵计算、信号处理和图形显示结合在一起,包含大量高度集成的函数可供调用,适合科学研究、工程设计等众多学科领域使用的一种简洁、高效的编程工具。不过由于Matlab使用的是解释性语言,大大限制了它的执行速度和应用场合。基于VC和M...

2018-10-27 12:39:22 4573 9

转载 VS中添加lib文件,编译出错:LINK : fatal error LNK1104: 无法打开文件:×××.lib解决办法

添加库文件:在VS中右击项目点属性:  添加头文件目录:  配置属性-->C/C++-->常规-->附加包含目录 加上头文件存放的目录。  添加lib文件:  配置属性-->链接器-->输入-->附加依赖项加入库名(×××.lib);或者是在cpp源文件中用#pragma comment(lib,"×××.lib")来代替。  此时编译会提示:fa...

2018-10-27 12:33:23 21797 1

原创 学习Python不错的网站

Python官网提供的各种学习文档:http://docs.python.org华蟒用户组:https://groups.google.com/group/python-cnChinaUnix :http://bbs.chinaunix.net/forum-55-1.htmlPython中国:http://www.okpython.com/Python中文社区维基  链接:htt...

2018-10-12 13:18:10 719

转载 python中查询某个函数的使用方法

查询python函数的用法有两个: 使用help(),例查询sum函数的用法 使用官方文档: 1)打开python的IDLE: 2)点击help,选择python doc(这是python的官方文档,或者你也可以直接按f1键) 3)在调出的窗口,输入函数名字进行查询: 然后你给的while是关键词,并不是函数,所以查询不到使用方法,一般查不到...

2018-10-06 21:15:57 52593 1

转载 pycharm 常用快捷键整理

shift+enter  自动从句中换到下一行句首 Ctrl+Home=>头部 Ctrl+End=>尾部 最重要的快捷键 1. ctrl+shift+A:万能命令行2. shift两次:查看资源文件 在PyCharm /opt/pycharm-3.4.1/help目录下可以找到ReferenceCard.pdf快捷键英文版说明PyCharm Default K...

2018-10-06 17:25:02 1044

转载 Pycharm如何设置自定义背景颜色

Pycharm可以通过设置主题来设定背景颜色,但主题的背景颜色也仅仅局限特定的几种,通过如下的方式可以自定义背景颜色。File——Settings——Editor——General——(右侧上方的框框)Text——Default text——选右侧的Background就可以设置背景色了。图中的灰色背景RGB是192,192,192。 ...

2018-10-06 12:53:31 12379

转载 notepad++ 设置编辑区域背景色为黑色

长期面对显示器,眼睛会比较累,因此将notepad++设置为黑色,可以改善一下。 修改notepad++工具的方式如下

2018-10-06 12:52:39 17100 1

转载 Pycharm安装详细教程

今天小编给大家分享如何在本机上下载和安装Pycharm,具体的教程如下:1、首先去Pycharm官网,或者直接输入网址:http://www.jetbrains.com/pycharm/download/#section=windows,下载PyCharm安装包,根据自己电脑的操作系统进行选择,对于windows系统选择下图的框框所包含的安装包。2、选择Windows系统的专业版,...

2018-10-06 11:32:54 46427 1

转载 Python编辑器与集成开发环境(IDE)选择

上一篇文章记录了怎么安装Python环境,同时也成功的在电脑上安装好了Python环境,可以正式开始自己的编程之旅了。但是现在又有头疼的事情,该用什么来写Python程序呢,该用什么来执行Python程序呢。  其实市面上有很多编辑器都可以来编写代码,甚至是Windows自带的记事本都可以编写代码。俗话说,工欲善其事,必先利其器,找到一个好的Python IDE,就好比剑客手中一把锋利的宝剑。...

2018-10-06 11:19:25 9016

转载 Python语言的特点

机器语言:01代码,CPU认识的语言;例:2+3的运算 1101001000111011汇编语言:在机器语言上增加人类可读的助记符例:2+3的运算 add 2,3,result高级语言:向自然语言靠近的语言例:2+3的运算 result = 2+3编译和解释:编译:将高级语言源代码转换成目标代码(机器语言),程序便可执行。高级语言==>编译器==>机器语...

2018-10-05 22:58:33 6849

转载 Python介绍及编程环境搭建

1-1、Python简介: Python是一种解释型、面向对象、动态数据类型的高级程序设计语言。Python由Guido van Rossum于1989年底发明,第一个公开发行版发行于1991年。像Perl语言一样, Python 源代码同样遵循 GPL(GNU General Public License)协议。        Python(英国发音:/ˈpaɪθən/ 美国发音:/ˈp...

2018-10-05 22:51:48 10468 1

原创 Vivado 中IP报严重警告Could not find module的解决办法

问题描述:In a simple IP Integrator design containing a MIG 7series IP, an error message similar to the following occurs when I run synthesis in the Vivado tool:netlist_1open_run synth_1 -name netlist...

2018-10-01 11:18:24 21611 1

转载 FPGA乒乓操作Verilog程序

module ping_pong_buffer(    input clk,    input rst_n,        //external write interface    input[7:0] i_data,    input i_data_valid,        //ping ram buffer interface    output ping_ram_buf...

2018-09-24 13:30:31 9546 3

转载 Vivado中单端口和双端口RAM的区别

Note: 以下内容可能会有错误的地方,仅供参考,详细请查阅Xilinx官方产品指南《pg058-blk-mem-gen》 !BMG即Block Memory Generator,是Xilinx配置BRAM的IP核,可将BRAM配置成如图所示的5种类型。RAM分三种,单端口RAM、伪双端口RAM(Simple Dual)和双端口RAM(True Dual),它们之间的区别是什么呢?先看看它...

2018-09-22 12:41:35 13379 3

转载 Vivado 双口RAM 的调用和实现

1.双口RAM概述 双口RAM(dual port RAM)在异构系统中应用广泛,通过双口RAM,不同硬件架构的芯片可以实现数据的交互,从而实现通信。例如,一般情况下,ARM与DSP之间的通信,可以利用双口RAM实现,ARM通过EBI总线连接到双口RAM的A口,DSP通过EMIF总线(也可以是uPP总线,取决于速度需求)连接到双口RAM的B口,两者对同一块存储区域进行操作,即可实现两者的数...

2018-09-22 12:33:35 6703 1

转载 FPGA基于双端口RAM的乒乓操作

如果需要重读,需要用ram,如果不需要重读的话就用FIFO 双buffer不太好实现错误重传机制!!!!双buffer作用:1、跨时钟域2、完成数据位宽转换3、完成数据缓冲 双buffer缓冲操作示意图,在操作工程中存在两个clock1 和clock2,但是输入输出的传输带宽不能相差太大,会出现数据覆盖的现象 双buffer缓冲操作示意图,在操作工程中存在两个clock1 和c...

2018-09-22 12:23:47 3567 1

转载 Vivadoz中Block Memory Generator v8.3的使用方法(二)

在我们的项目中使用的是单端口RAM,读优先模式。其相关配置如下图所示。我们采用OOC的方式对其综合。查看官方文档,对操作模式的解释如下:1、 写优先。及在一个有效的clk上升沿到来时,先将DIN上的数据写入到ADDRA对应的地址中,然后再将ADDRA对应地址中的数据读出。其时序图入下所示。可以看出,在写使能信号无效时读出的是ADDRA对应地址中原始的数据,在写使能信...

2018-09-16 11:35:34 7568 1

转载 FPGA 内部双口块RAM 读写实现

由XILINX官网文档PG058 “LogiCORE IP Block Memory Generator v8.2”FPGA 内部块RAM 的读时序如下图:   可知,块RAM的读延时为两个时钟周期。FPGA 内部块RAM 的写时序如下图: 可知,块RAM 的写延时为0,但是RAM 中的内容是在写的下一个时钟改变。 在ISE下实现对FPGA内部块RAM 的读写代码:...

2018-09-16 11:32:58 11783 1

转载 Vivado中Block Memory Generator v8.3的使用

CORE Generator里有很多的IP核,适合用于各方面的设计。一般来说,它包括了:基本模块,通信与网络模块,数字信号处理模块,数字功能设计模块,存储器模块,微处理器,控制器与外设,标准与协议设计模块,语音处理模块,标准总线模块,视频与图像处理模块等。           在Xilinx的IP核里有xilinx core generator 里面的memory interface gene...

2018-09-16 11:32:02 25975 2

转载 LFM——线性调频

线性调频信号是一种大时宽带宽积信号。线性调频信号的相位谱具有平方律特性,在脉冲压缩过程中可以获得较大的压缩比,其最大优点是所用的匹配滤波器对回波信号的多普勒频移不敏感,即可以用一个匹配滤波器处理具有不同多普勒频移的回波信号,这些都将大大简化雷达信号处理系统,而且线性调频信号有着良好的距离分辨率和径向速度分辨率。因此线性调频信号是现代高性能雷达体制中经常采用的信号波形之一,并且与其它脉压信号相比,很...

2018-08-31 12:51:20 21165 2

转载 VHDL操作符

VHDL操作符按照算数优先级排序:混合运算符 (miscellaneous operators): 乘方 ** 取绝对值 abs 非 not 求积运算符(multiplying operators): 乘 * 除 / 取模 mod 取余 rem 符号运算符(sign operators): 正 + 负 - 加法运算符(adding opera...

2018-08-25 15:36:47 10935 1

转载 天线的近场区和远场区

围绕着天线的场可以划分为两个主要的区域:接近天线的区域称为近场或者菲斯涅耳(Fresnel)区,离天线较远的称为远场或弗朗霍法(Fraunhofer)区。参考下图,两区的分界线可取为半径     R=2L2/λ  (m)其中,L是天线的最大尺寸(米),λ是波长(米)。      在远场或弗朗霍法(Fraunhofer)区,测量到的场分量处于以天线为中心的径向的横截面上,并且所有的...

2018-08-25 15:34:27 10190

转载 常用数学符号读法大全

大写 小写 英文注音 国际音标注音 中文注音 Α α alpha alfa 阿耳法 Β β beta beta 贝塔 Γ γ gamma gamma 伽马 Δ δ deta delta 德耳塔 Ε ε epsilon epsilon 艾...

2018-08-12 13:50:25 12554 1

转载 Vivado和Quartus ii 中工程存档(Archive project)及打开

下面介绍下在Quartus ii 中进行工程存档(Archive project)以及打开存档工程的操作方法,当需要把工程发给其它电脑时,用工程存档比较方便,空间占用小,而且不会出现文件丢失的情况。我在实际中有遇到直接拷贝整个工程文件夹到别人电脑上,打开后,signaltap ii 文件丢失的情况,当我生成qar后再发到别人电脑上,就正常了。工程存档有点像把工程进行压缩一样,存档后的文件后缀是qa...

2018-08-12 13:48:39 4627

转载 FFT对信噪比的增益计算

1. 傅里叶变换也就这四种情况,那么从这四种情况中我们可以概括出他们的规律,即:    非周期<--->连续          周期<--->离散    这个规律对于时域和频域上的信号是对称的。例如,如果时域上是非周期的,则频域上的信号肯定是连续的;如果时域上的信号时周期的,则频域上的信号肯定是离散的。反之亦然.     2.  数字信号处理其实主要就是处...

2018-08-12 13:42:17 18617 5

转载 射频领域中交调和互调的区别

互调干扰(Inter Modulation)当两个或多个干扰信号同时加到接收机时,由于非线性的作用,这两个干扰的组合频率有时会恰好等于或接近有用信号频率而顺利通过接收机,其中三阶互调最严重。由此形成的干扰,称为互调干扰。互调干扰和交调干扰类似,有天线互调、天馈避雷器互调、滤波器互调等。交调干扰交叉调制(Cross Modulation)是指一个受调制的干扰(如干扰电台)与要接收的信号同...

2018-08-05 13:37:26 18385

转载 声音分贝的概念,dBSPL.dBm,dBu,dBV,dBFS

需要做个音频的PPM表,看着一堆的音频术语真是懵了,苦苦在网上扒了几天的文档,终于有了点收获,下面关于声音的分贝做个总结。分贝 Decibel分贝(dB)是一个对数单位(logarithmic unit), 它和很多常见的单位如“米”,“秒”或者“千克”等物理单位是不同的,它并不能直接用来描述一个物理量的大小或者多少,它表示的是两个相同单位物理量的比值。分贝经常用来描述声音,如超过50dB...

2018-08-01 13:08:29 2597

转载 dbm,dbi,dbd,db,dbc的区别是什么

都是功率增益的单位。1、dBmdBm是一个表征功率绝对值的量,计算公式为:10lgP(功率值/1mw)。[例1] 如果发射功率P为1mw,折算为dBm后为0dBm。[例2] 对于40W的功率,按dBm单位进行折算后的值应为:10lg(40W/1mw)=10lg(40000)=10lg4+10lg10+10lg1 000=46dBm。2、dBi 和dBddBi和dBd是表征增...

2018-08-01 13:07:51 2042

转载 信纳比(SINAD)介绍

信号+噪声+谐波的功率与谐波+噪声的功率比值 。计算公式:SINAD=(S+N+D)/(D+N)信纳比(SINAD或S/(N + D))指的是信号幅度均方根与所有其它频谱成分(包括谐波但不含直流)的和方根(rss)的平均值之比。SINAD很好地反映了ADC的整体动态性能,因为它包括所有构成噪声和失真的成分。SINAD曲线常常针对不同的输入幅度和频率而给出。对于既定的输入频率和幅度,如果SI...

2018-07-30 12:47:34 14797

原创 dBm与Vpp相互转换公式

       Vpp代表峰峰值,峰峰值是指一个周期内信号最高值和最低值之间差的值,就是最大和最小之间的范围。它描述了信号值的变化范围的大小。       如果输入为正弦波信号,正弦波峰值为有效值的√2倍。峰峰值为2倍的峰值。因此正弦波信号其幅度应为Vpp/2,有效值为Vpp/(2√2),在50欧姆阻抗下,功率就为Vpp^2/(8*50),再转换成dBm=10*log10(Vpp^2/(8*...

2018-07-29 21:29:59 29168 6

转载 vivado如何实现增量编译,加快布局布线

增量编译设计:1、首先完成非增量编译,获得参考的布局布线dcp文件;2、当逻辑修改小于5%,需重新出版本,采用增量编译3、按步骤打开:implementation setting——options——incremental compile,浏览文件4、选择.runs / impl_1 / *.dcp5、点击run implementation,等待结果效果:预计能减少30%...

2018-07-26 23:25:34 12418

转载 基于Virtex-6 FPGA的三种串行通信协议测试及对比

在高性能雷达信号处理机研制中,高速串行总线正逐步取代并行总线。业界广泛使用的Xilinx公司Virtex-6系列FPGA支持多种高速串行通信协议,本文针对其中较为常用的Aurora 8B/10B和PCI Express 2.0,Serial RapidIO 2.0三种协议进行了测试及对比分析。首先搭建了基于Virtex-6 FPGA的高速串行协议测试平台;然后设计并分别实现了三种协议的高速数据通信...

2018-07-26 23:20:52 1422

转载 PCI总线的三种传输模式

本文来简单地介绍一下PCI Spec规定的三种数据传输模型:Programmed I/O(PIO),Peer-to-Peer和DMA。三种数据传输模型的示意图如下图所示:首先来介绍一下Programmed I/O(PIO)PIO在早期的PC中被广泛使用,因外当时的处理器的速度要远远大于任何其他外设的速度,所以PIO足以胜任所有的任务。举一个例子,比如说某一个PCI设备需要向内存(S...

2018-07-26 23:17:24 9402

转载 PCI BAR设置过程

最近因为工作需要用到pci设备的BAR内容,之前看了没深刻印象,这里整理一下。 PCI设备有很好的可配置型和易操作性,这很大方面要归功于其地址空间的可动态分配的特性。而动态分配地址空间就是依赖于BAR(base address register)实现的。BAR是PCI配置空间中从0x10 到 0x24的6个register,用来定义PCI需要的配置空间大小以及配置PCI设备占用的地址空...

2018-07-24 23:45:09 6308 1

转载 pcie 的bar和dma bar2

设备在系统的PCI地址空间里申请一段来用,所申请的空间基址和大小保存在BAR寄存器里。 BAR里的只是PCI域的地址空间,需要映射到IO地址空间里或者内存地址空间里之后软件才能使用。 映射到IO空间的话,用IO读写指令和函数去访问设备;映射到内存空间的话,首先得到的是物理地址,映射到虚拟地址后就可以像用指针那样访问。 IO BAR和MEM BAR分别是映射到IO空间和内存空间的BA...

2018-07-24 23:42:22 4332

msk调制与gmsk调制

使用matlab进行仿真,编写的非常详细的msk调制与gmsk调制调制程序。这些程序是自己通过各种查资料以及在自己独立的修改下完成的有关于msk、以及gmsk信号的一些特征与性质的仿真分析。

2014-01-13

matlab仿真qpsk gmsk msk fsk qam ask

仿真了通信原理中常用到的调制技术。包括了qpsk gmsk msk fsk qam ask psk oqpsk ssb等。每种调制方式都编写了详细的调制程序。这些程序是自己做毕业设计的时候用到的,仿真结果经受住了老师的检验。因此可以保证每一个程序可以正确的运行以及得到的波形图的正确性。这些程序特别适用于那些做通信原理课程设计以及毕业设计的人。在这里将自己花了很久的时间编写的程序分享给大家,希望能够给大家一定的帮助。

2014-01-13

OFDM性能仿真

OFDM通信系统性能的仿真信道为AWGN和Rayleigh信道。仿真的软件平台是matlab

2013-06-19

qam与qpsk调制解调

QAM与QPSK的调制解调,里面还有ask,bpsk,64qam,msk的相应仿真。使用matlab进行。

2013-06-19

msk与gmsk仿真

msk与gmsk'仿真,分别用matlab进行了调制解调的仿真。

2013-06-19

物理层仿真

自己做的物理层仿真,使用到了MATLAB,并且进行了gui设计。

2013-06-19

msk与QPSK调制解调

使用matlab仿真程序实现了MSK、QPSK的调制与解调。给出了多种调制解调的方法。所有的程序能够良好的运行,并且给出了调制解调时候的波形图。可以作为研究数字调制技术的参考。

2013-06-03

msk调制解调

使用matlab仿真程序实现了FSK、MSK的调制与解调。程序能够良好的运行,并且给出了调制解调时候的波形图。可以作为研究数字调制技术的参考。

2013-06-02

GMSK与MSK调制解调

使用matlab仿真程序实现了GMSK、MSK、OQPSK、QPSK的调制与解调。程序能够良好的运行,并且给出了调制解调时候的波形图。可以作为研究数字调制技术的参考。

2013-06-02

DPSK的调制解调

基于systemview的仿真,实现了2DPSK的调制与解调。分析了其误码率性能。并且文中给出详细的仿真结果图,可以作为很好的参考。

2013-06-02

msk 的调制解调

基于matlab的msk仿真程序。里面包括了msk的正交调制的程序,以及msk相干解调的程序。并且程序中还分析了msk的功率谱,能够做出msk的调制与解调过程中的波形。

2013-04-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除