Win8.1下安装Altera USB-Blaster驱动所遇到的问题:第三方INF不包含数字签名信息

电脑环境:Windows 8.1 64bit版本

软件环境:Altera Quartus II 9.0 和 Altera Quartus II 9.0 Service Pack 2

安装路径:D:\Altera\90


问题描述:

最初的软件安装和破解都很顺利。安装结束之后,在电脑USB口接上USB-Blaster准备安装下载线驱动。

1.打开“设备管理器”,看到有一个设备是“未知设备”,这个就是USB-Blaster。


2.右击“更新驱动程序软件”。

  • 7
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值