自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(13)
  • 资源 (45)
  • 收藏
  • 关注

转载 Timequest Timing Analyzer进行时序分析(一)

一、概述    用Altera的话来说,TimeQuest Timing Analyzer是一个功能强大的,ASIC-style的时序分析工具。采用工业标准--SDC(synopsys design contraints)--的约束、分析和报告方法来验证你的设计是否满足时序设计的要求。本文中,将采用一个DAC7512控制器的verilog设计作为例子,详细讲解如何使用TimeQuest进行

2016-12-14 15:52:41 3039

转载 文件结构体struct file(Linux 2.6.23内核) (转)

struct file结构体定义在/Linux/include/linux/fs.h(Linux 2.6.11内核)中,其原型是:代码:struct file {   struct file *f_next,**f_pprev;   struct dentry *f_dentry;   struct file_operations *f_op;   mode_t f_m

2016-11-25 13:45:28 289

转载 一个电路分析(设计经典的单片机采样电阻 C8051F330内部具有10位高精度的A/D转换器)

一个电路分析(转)  即将要分析的电路如下,这个电路本人觉得设计的很经典。这个电路主要完成的任务就是监测流经Q1和采样电阻R6中的电流。单片机C8051F330对电流的各种情况做出动作和反应。分析的主要部分不是在单片机内部结构和程序如何,而在于以运放为中心,整个电路的组织和联系。图 1在分析这个电路之前,我先简单介绍一下C8051F330单片

2016-06-15 20:41:54 8891 2

原创 stm8 CAN学习

用stm8 iar

2016-05-12 14:01:07 1953

原创 IAR STM8工程中断的使用

IAR的工程建立比较简单,直接先建立工作区然后保存,不保存无法调试。把官方的INC放到工程目录下,在C/C++编辑连接包含这个文件的绝对路径(最简单的搞法)。把c文件添加进去就可以了,它的中断很简单你只要写一个如下的.c文件就能用了:#pragma vector=1__interrupt void TRAP_IRQHandler(void){  }#pragma vec

2016-05-11 10:56:52 4420

转载 STM32 CAN 过滤原理(转贴)非常好

转载▼CAN 过滤原理(转贴)非常好,推荐" title="STM32 CAN 过滤原理(转贴)非常好,推荐" style="margin:0px; padding:0px; border:0px; list-style:none">CAN 过滤原理(转贴)非常好,推荐" title="STM32 CAN 过滤原理(转贴)非常好,推荐" style="margin:0px;

2016-05-04 10:54:24 630

转载 DP83848 跑10M能行,跑100M不行 的原因

1: 我用DP83848 做网络通讯,一开始10M/100M都能通(2013年3月之前能上100M,总共做过1百多块都能上100M),但去年上半年一次焊装了一批新板子,100M不通(全部都不通),只能跑10M,一开始认为是这批DP83848有问题,换了几个批次都不行,然后又重做PCB,还是不行,后来找了能上100M的块老板子做芯片交叉测试,发现新的芯片都不能跑100M,难道是芯片的问题,都换了好几

2016-05-03 21:46:35 5255 1

原创 STM8S各个模块初始化

STM8S各个模块初始化/***************可选择的内部或者外部时钟*******************/#ifdef  HSE_EXTvoid ClkInit(void){ CLK_ICKR = 0X00;      //禁止高速内部时钟,从停机(Halt) 或活跃停机(Active Halt) 模式快速唤醒禁止 CLK_EC

2016-05-03 13:22:17 1502

原创 PCB上铺地打过孔的原则

PCB上铺地打过孔的原则简单地归纳一下:1.过孔数量越多越好2.过孔间距越小越好3.过孔数多时不明显,过孔数少时铺地距离传输线越远越好4.过孔直径越大越好5.两条微带线间做隔离时,过孔数量一定要够多,否则还不如不打孔铺地

2016-05-01 07:48:28 8579 3

原创 4-20mA放大电路

第一级用采样电阻获得电压,再放大4.125倍,第二级与0.825v做减法,得到0-3.3V电压可供ADC.电路如图,如果愿意用单片机软件做减法处理的话,第二级电路可省略.

2016-04-24 19:50:01 1504 2

转载 三极管当开关的注意事项

下面是一些使用三极管的经验,以及常见的误区,注意到它们,在电路设计的过程中可以减少一些不必要的麻烦。遗憾的是目前为止还经常看到人们在不明不白的用着它们,却没有被发现和引起必要的重视。下面看几个三极管做开关的常用电路画法。都是以蜂鸣器作为被驱动器件举例。图1的左边NPN管,蜂鸣器接在三极管的集电极,驱动信号是常见的3.3V或5VTTL,高电平导通,电阻按照经验法可以取4.

2016-04-10 22:37:31 1394 1

转载 那一年我在学USB的PCB走线

那一年我在学USB的PCB走线发布时间:2012-12-02 21:39:19 技术类别:单片机     个人分类:PCB  前些天由于要做LED显示屏,我计划用C8051F340作为控制核心处理器,该单片机有USB功能,可以再PCB的制作,D+和D-需要走差分线,可是自己以前还没走过,

2016-03-24 17:32:02 2920

转载 VS2005编译问题LINK : E:/aaa/Debug/aaa.exe not found or not built by the last incremental link; performin

我原来的程序是VC6.0下编的,现在要搞到VS2005中,我新创建了项目,然后一个个文件加入项目,再编译,直到能够通过为止, 但是一加入文件就会出现如下错误,不知如何处理 LINK : E:/aaa/Debug/aaa.exe not found or not built by the last incremental link; performing full link这种情况很

2015-10-20 10:11:25 613

STM32F103C8T6

内含STM32F103C8T6电路原理图及PCB图,方便开发使用

2017-03-17

基于FPGA和DSP的图像多功能卡的设计与实现

基于FPGA和DSP的图像多功能卡的设计与实现

2017-03-14

S3C2440全套中文手册(1-27章)

此用户手册描述的是三星公司的 16/32 位精简指令集(RISC)微处理器 S3C2440A。三星公司的 S3C2440A 为手持设备和普通应用提供了低功耗和高性能的小型芯片微控制器的解决方案。 为了降低整体系统成本, S3C2440A 还提供了以下丰富的内部设备

2017-03-14

精通LINUX设备驱动开发

本书对驱动程序的讲解非常透彻

2017-03-14

跟我一起写Makefile

什么是 makefile?或许很多 Winodws 的程序员都不知道这个东西,因为那些 Windows 的 IDE 都为你做了这个工作,但我觉得要作一个好的和 professional 的程序员,makefile 还是要懂。这就好像现在有这么多的 HTML 的编辑器,但如果你想成为一个专业人士,你还 是要了解 HTML 的标识的含义。

2017-03-14

深入Linux设备驱动程序内核机制

《深入Linux设备驱动程序内核机制》内容简介:这是一本系统阐述Linux设备驱动程序技术内幕的专业书籍,它的侧重点不是讨论如何在Linux系统下编写设备驱动程序,而是要告诉读者隐藏在这些设备驱动程序背后的那些内核机制及原理。作者通过对Linux内核源码抽丝剥茧般的解读,再辅之以精心设计的大量图片,使读者在阅读完《深入Linux设备驱动程序内核机制》后对驱动程序前台所展现出来的那些行为特点变得豁然开朗。, 《深入Linux设备驱动程序内核机制》涵盖了编写设备驱动程序所需要的几乎所有的内核设施,比如内核模块、中断处理、互斥与同步、内存分配、延迟操作、时间管理,以及新设备驱动模型等内容。为了避免读者迷失在某一,技术细节的讨论当中,《深入Linux设备驱动程序内核机制》在一个比较高的层面上进行展开,以一种先框架再细节的结构安排极大地简化了读者的阅读与学习。, 《深入Linux设备驱动程序内核机制》不仅适合那些在Linux系统下从事设备驱动程序开发的专业技术人员阅读,也同样适合有志于从事Linux设备驱动程序开发或对Linux设备驱动程序及Linux内核感兴趣的在校学生等阅读。对于没有任何Linux设备驱动程序开发经验的初学者,建议先阅读那些讨论“如何”在Linux系统下编写设备驱动程序的入门书籍,然后再阅读《深入Linux设备驱动程序内核机制》来理解“为什么”要以这样或者那样的方式来编写设备驱动程序。

2017-03-14

简明Python教程

很简明的Python教程,通俗易懂,可以快速入门

2017-03-14

ARM嵌入式系统基础教程

对arm进行全面的介绍,从基本的知识到指令集

2017-01-16

嵌入式Linux应用程序开发详解(完整版)

应用开发是因为应用开发相比驱动开发难度更小,而且要验证你的驱动程序是否达到预期的目标要用应用程序测试所以先学习的是嵌入式Linux应用程序开发详解,比较全面

2017-01-16

Linux Device Drivers 中文版

经典的Linux设备驱动开发参考书,带有目录,中文版

2016-09-22

linux device drivers

经典的Linux设备驱动开发参考书,带有目录,很好的

2016-09-22

有源滤波器的快速实用设计.pdf

硬件工程师必备手册,有源滤波器的快速实用设计.中文版。

2016-09-09

VC++串口通信20个经典源码合集

VC++串口通信20个经典源码合集\VC 串口通信程序.rar 1、读串口话是动态波形,非常好的应用程序,有源码.rar 2、串口实现双机互联 包含视窗加代码.rar 3、串口通信,可以收发数据,可选用TCPIP 通信.rar 4、开放的串口通讯程序,经测试特别好用.rar 5、串口编程 不需使用Active控件实现.rar 6、VC++串口编程教学源码,串口学习的好东西.rar 7、串口通信源代码,用与两台机器间的串口通信,内含串口通信类.zip 8、串口通讯程序,该程序能实现打字机方式进行数据传输.zip 9、使用串口控件实现温度数据采集并显示.rar 10、多串口控制解决方案源代码.zip 11、实现串口通信的聊天程序,可供有关爱好者学习-.rar 12、实现串口通信,觉得好的人顶详细介绍串口通信等等。。。。

2016-06-06

STM32VET6开发板的CANOPEN收发测试代码

STM32VET6开发板的CANOPEN收发测试代码,参考作用很强

2016-05-10

Windows程序设计

目前的扫描版都不太清晰,特别是程序代码部分,根本看不清楚是些什么。 然而CHM版本的字体又太小了,不适合长时间学习。 所以本人特别推荐该 中文字PDF版本 。 该版本字体清晰,大小合适,并配有扫描版的所有图例。 长时间学习眼镜也不会有什么不适。 所有小节都设置了书签,非常方便。 文字版又便于复制粘贴。

2016-05-07

stm8原理图库

所有stm8的原理图的封装

2016-05-04

STM32F103VET6原理图及PCB

开源一个我自己修改了好几天的一个更合适大家的原理图版本有RS232 NRF24L01 SRAM内存 SPI接口 W25X16 ADC DAC 等等。

2016-05-03

CRC校验工具

进行modbus及usb等的CRC校验,能更好更精确的计算出结果

2015-08-21

modbusCRC.exe

计算CRC校验,用在modbus中,直接计算

2015-08-20

BCC校验软件

很好用的BCC软件,校验,计算BCC,很方便。

2015-06-23

闪电侠网络助手1.0.4版本

1、串口,TCP客户端/服务端,UDP客户端/服务端通讯调试 2、支持在发送数据包尾部指定位置添加CRC(ModbusCRC16、CCITT-CRC16、XOR、SUM、CRC32) 3、通过菜单或快捷键(Ctrl+F)可以调出查找控件,方便用户查找指定字符 4、在接收框中按下F3或Shift + F3,实现向下或向上快速查找指定字符 5、打开宏按钮可以打开多条数据发送窗口,可以支持无限条自定义数据 6、多数据发送窗口还可以切换到精简模式,不占用用户调试界面 7、接收的HEX数据可通过右键菜单计算对应的浮点数或ASCII码 8、支持串口超级终端模式,完全兼容XP系统超级终端按键字符 9、支持自动应答模式,通过配置文件可以设置需要软件自动应答的数据 10、支持切换时间戳显示模式(距开始抓包的秒数或距上一包的秒数) 11、通过发送菜单选项可以将发送框改为多行文本发送,还可定义回车字符 12、单行发送框支持20条历史发送数据记录,方便用户快速选择发送文本 13、支持分包超时设置,可以有效解决接收一帧数据与时间戳断开的问题

2022-07-19

QFN封装库(8、16、32、36、48、64 )AD版本

QFN(8、16、20、24、28、32、36、40、44、48、52、56、60、64、68、72、80脚)包含所有QFN封装, 用AD可打开

2022-03-11

ODB++插件allogro

allegro odb++插件,可以在windows上使用。ODB++inside 是在由Valor Ltd 1992开发类别 Miscellaneous Shareware 软件

2019-05-30

msp430f5438例程

msp430f5438例程,有AD,液晶显示,操作系统等等,还同时附带了官方的例程,以备同时参考。

2017-07-24

MSP430驱动

msp430 仿真器驱动

2017-07-04

CRC计算程序

可以计算CRC 8 、16 、32 、及和校验

2017-06-19

3D 激光导航算法

3D激光导航算法

2017-05-25

基于uCOS的电梯控制的完整程序

基于uCOS的电梯控制的完整程序,能很好地学习ucos ii

2017-05-03

THB6128程序

stm32控制THB6128程序,基本控制函数已经写好,其他需求自己更改。

2017-04-28

linux设备驱动详解视频(宋宝华)

《Linux设备驱动开发详解》的视频,配合书看的效果更好

2017-04-20

Python编程:从入门到实践

Python很好的入门教程,内含源代码

2017-04-13

stm32f103 工程模板

能下载就能使用的stm32工程模板,所有函数都已经写好

2017-04-11

FPGA/CPLD边练边学—快速入门Verilog/VHDL》源程序

FPGA/CPLD边练边学—快速入门Verilog/VHDL》源程序

2017-03-28

stm32f407_eval学习板原理图SCH和PCB图

基于stm32f407的各种外围器件的原理图和PCB

2017-03-28

STM32无刷电机开发板资料

基于stm32的无刷电机的原理图和程序

2017-03-28

手把手教你学dsp

手把手教你学dsp

2017-03-28

牛人,十年经验教你如何学习嵌入式系统

如何学习嵌入式的知识

2017-03-28

DSP与CMD文件的原理

描述DSP 编写CMD的基本知识

2017-03-28

STM32F207+OV7670+FATFS+TFT+SDIO+LWIP+UCOS-II程序

资源集成OV7670驱动,SD卡驱动,TFT驱动,加入了LWIP协议栈,实现了TFTP客户端

2017-03-28

bash shell 源代码

主要是bash shell4.2

2017-03-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除