UML类图学习设计模式

                                              UML类图学习设计模式

一基本概念

虚线箭头指向依赖;

实线箭头指向关联;

虚线三角指向接口;

实线三角指向父类;

空心菱形能分离而独立存在,是聚合;

实心菱形精密关联不可分,是组合;

二图解

 

    类:动物的矩形代表一个类,类图分三层 第一层类名,抽象类用斜体表示;第二层类的特性及字段和属性;第三层类的操作方法或行为。前边的符号 +为public   -private   #protected;

    接口:“飞翔”是一个接口图,与类的区别是顶端有个<<interface>>;第一层接口名称,第二层接口方法;

     继承关系:空心三角形+实线     箭头指向父类;子类继承父类     表示一般与特殊的关系    即大雁继承鸟

     实现关系:空心三角形+虚线     类实现接口   即大雁实现飞翔,表示类是接口所有方法的实现

     组合关系:实心菱形+实线箭头表示    菱形指向整体

                                 

              组合是一种强的拥有关系,体现了严格的部分与整体的关系,部分和整体的生命周期是一样的,但部分不能离开整体单独存在,如公司和部门是整体和部分的关系,没有公司就不存在部门,组合关系是比聚合关系还要强的一种,他要求代表整体的对象负责代表部分的对象的生命周期;

              代码体现:成员变量

      聚合关系:空心菱形+实现箭头   菱形指向整体   ;  部分和整体的关系  部分可以离开整体单独存在,车和轮胎整体与部分   轮胎可以离开车单独存在,聚合关系是关联关系的一种,是强的关联关系;关联和聚合在语法上无法区分,需要考虑具体的逻辑关系。

               代码体现:成员变量

      关联关系:普通箭头的实线,指向被拥有者;是一种拥有的关系,他使一个类知道另一个类的属性和方法,如老师学生  丈夫与妻子,关联可以是双向的也可以是单向的,双向关联可以有两个箭头也可以没有箭头,单向关联只能有一个箭头;          

                代码体现:成员变量

     依赖关系:带箭头的虚线 指向被使用者;是一种使用的关系,即一个类的实现需要另一个类的协助,所以要尽量不使用双向的相互依赖。

                代码体现:局部变量,方法的参数或者对静态方法的调用;

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值