如何安裝Quartus II 8.0 for Linux? (SOC) (Quartus II) (Linux)

Abstract
Quartus II 8.0 for Linux的安裝方式。

Introduction
轉貼自NiosWikiQuartusforLinux

The latest Altera Design Software 8.0 is supported on the following Linux operating systems and versions:

  •    Red Hat Enterprise Linux 3 (32 bit & 64 bit)
  •    Red Hat Enterprise Linux 4 (32 bit & 64 bit)
  •    SUSE 9 Enterprise Linux
You can use  CentOS  4.6 , which is free and binary compatible with RHEL4.
You may find step by step guide on Linux installation and usage from  Redhat's doc  .
It is possible to use other Linux distro. 
The procedures described here work with Ubuntu 8.04, Fedora 9 and Suse 10.3.
Make sure you have tcsh isntalled, otherwise install it, as root or via sudo
On Fedora,RHEL/Centos, 
yum install tcsh 
On Suse,
zypper install tcsh 
On Debian/Ubuntu, (via sudo)
apt-get install tcsh
On Ubuntu, check if the default shell is "bash" , (the default might be "dash", which won't work with install scripts)
ls -l /bin/sh
This should give "/bin/sh -> bash",
Otherwise, change it with,
sudo rm /bin/sh
sudo ln -s bash /bin/sh
followed by a logout and log back in again.
The jtag port USB Blaster needs usbfs to work. On Ubuntu/Suse, add/edit the following line in the file /etc/fstab.
usbfs /proc/bus/usb usbfs devmode=0666 0 0
This will automatically mount the usbfs to the location /proc/bus/usb on startup. The mode will be set to 0666 which means that everyone can read/write the files that are created there.
Once the line has been added to /etc/fstab, you can mount the file system by entering the command
sudo mount /proc/bus/usb
You could also restart your system if that's easier.
You can  download  and install all Altera design suits from Altera's website.
ftp://ftp.altera.com/outgoing/release/80_altera_installer.tar
ftp://ftp.altera.com/outgoing/release/80_quartus_linux.tar
ftp://ftp.altera.com/outgoing/release/80_nios2eds_linux.tar
ftp://ftp.altera.com/outgoing/release/80_modelsim_ae_unix.tar
Put these files in a dir, as root or via sudo
tar xf 80_altera_installer.tar

ModelSim installation will fail with included install_download script. (have a look at /opt/altera8.0/download_install_8.0_log.txt)

Replace install_download file with: install_download (A patch is included)

./install_download
This will install the programs in the following default directories :
Quartus        = /opt/altera8.0/quartus
IP Megacore = /opt/altera8.0/ip
Nios II EDS   = /opt/altera8.0/nios2eds
Modelsim      = /opt/altera8.0/modelsim
(Ed., we don't need "8.0" numbered for each subdir when the parent dir already spelled it. while "nios2eds" and "ip" are more officially used by Altera.)
If you have a valid license file, it should be /opt/licenses/license.dat, and begin with
SERVER localhost <your nic> 1800
VENDOR alterad "/opt/altera8.0/quartus/linux/alterad"
VENDOR mgcld "/opt/altera8.0/modelsim/modeltech/linuxaloem/mgls/lib/mgcld"
....
Even if you  don't have the license to run quartus, you can still use other tools (such as jtag download and jtag debugger) for software development. Just ignore the license manager.
Then prepare data for jtag server. As root or via sudo.
mkdir /etc/jtagd
cp /opt/altera8.0/quartus/linux/pgm_parts.txt /etc/jtagd/jtagd.pgm_parts
Add these lines to /etc/rc.local to start license manager and jtag server. As root or via sudo.
echo 356 40000 32 32000 > /proc/sys/kernel/sem
/opt/altera8.0/quartus/linux/lmgrd -c /opt/licenses/license.dat
/opt/altera8.0/quartus/bin/jtagd
(You may need to reboot, or you can run these command lines to start these servers)
Login as a user. Put this line in a script file , say "n2sdk", in ~/bin dir. remember "chmod +x n2sdk".
QUARTUS_ROOTDIR=/opt/altera8.0/quartus  /opt/altera8.0/nios2eds/sdk_shell
Open a shell terminal, enter "n2sdk". This will open a Bourne-again shell (bash) with a pre-configured environment.
------------------------------------------------
Welcome To Altera SOPC Builder
Version 8.0, Built Tue May 27 22:59:48 PDT 2008
------------------------------------------------
------------------------------------------------
Welcome to the Nios II Embedded Design Suite
Version 8.0, Built Tue May 27 23:54:28 PDT 2008
Example designs can be found in
    /opt/altera8.0/nios2eds/examples
------------------------------------------------
(You may add a startup script: /opt/altera8.0/nios2eds/user.bashrc)
~
[NiosII EDS]$
Now you can run quartus, just enter "quartus" in this command shell.
[NiosII EDS]$ quartus
If quartus ask you the license file for the first run, enter "1800@localhost" .
You can find more usage of command line tools on section 2-4 of  Embedded Design Handbook (highly recommended reading).
Now, you can install nios2gcc tools and uClinux-dist. Build the uClinux kernel image.
Next, create an empty file in your home directory named “.jtag.conf ”. 
touch ~/.jtag.conf
Then plug in your USB Blaster, connect to your dev board (2C35 here).
You can try out the jtag port.
[NiosII EDS]$ jtagconfig
1) USB-Blaster [USB 5-1.2]
  020B40DD   EP2C35
[NiosII EDS]$ nios2-configure-sof /opt/altera8.0/nios2eds/examples/verilog/niosII_cycloneII_2c35/full_featured/NiosII_cycloneII_2c35_full_featured.sof
Info: *******************************************************************
Info: Running Quartus II Programmer
Info: Command: quartus_pgm --no_banner --mode=jtag -o p;/opt/altera8.0/nios2eds/examples/verilog/niosII_cycloneII_2c35/full_featured/NiosII_cycloneII_2c35_full_featured.sof
Info: Using programming cable "USB-Blaster [USB 5-1.2]"
Info: Started Programmer operation at Fri Dec  8 11:35:01 2006
Info: Configuring device index 1
Info: Device 1 contains JTAG ID code 0x020B40DD
Info: Configuration succeeded -- 1 device(s) configured
Info: Successfully performed operation(s)
Info: Ended Programmer operation at Fri Dec  8 11:35:04 2006
Info: Quartus II Programmer was successful. 0 errors, 0 warnings
    Info: Processing ended: Fri Dec  8 11:35:04 2006
    Info: Elapsed time: 00:00:22
[NiosII EDS$ nios2-download -g ~/uClinux-dist/images/zImage
Using cable "USB-Blaster [USB 5-1.2]", device 1, instance 0x00
Pausing target processor: OK
Initializing CPU cache (if present)
OK
Downloaded 1016KB in 16.2s (62.7KB/s)
Verified OK
Starting processor at address 0x04500000
[NiosII EDS$ nios2-terminal
nios2-terminal: connected to hardware target using JTAG UART on cable
nios2-terminal: "USB-Blaster [USB 5-1.2]", device 1, instance 0
nios2-terminal: (Use the IDE stop button or Ctrl-C to terminate)
Uncompressing Linux... Ok, booting the kernel.
Linux version 2.6.Uncompressing Linux... Ok, booting the kernel.
Linux version 2.6.17-uc1 (hippo@darkstar) (gcc version 3.4.6) #46 PREEMPT Thu Dec 7 15:22:06 CST 2006
You can try out flash programmer, or add flash file conversion to your build script. Or run GDB debugger.
Happy hacking.
Miscelaneus Fixes

  1. If the Nios II EDS installer does not work, edit the install script at line 143 :
         From : x=\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\${x/~/$HOME}
         To     : ##x=\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\${x/~/$HOME}
  2. If the modelsim installer doesnt work, edit the install.ms script :
    At line 172 :
        From    : tar xfo {$script_path}/modeltech_altera_unix.tar
        To        : tar xfo {$script_path}/modeltech_altera_unix.tar --no-same-permissions
    At line 174 :
        From    : tar xfo {$script_path}/modeltech_altera_unix.tar $file1.Z $file2.Z $file3.Z
        To        : tar xfo {$script_path}/modeltech_altera_unix.tar $file1.Z $file2.Z $file3.Z --no-same-permissions
  3. If the SOPC builder keeps giving language error (minor problem). This is an issue with Perl. To fix it, type this in a terminal :
           cd /usr/lib/locale
           cp -r en_US.utf8 en_US
  4. To fix the “Unknown Linux Processor” issue, download the file 'arch' and put it in /bin. You will need administrator access to do this.
  5. Sometimes the Licences in Quartus menu will not open. This can be solved by copying the provided file 'libX11.so.6.2' into/opt/altera7.2/quartus/linux. You will need administrator privileges. Then type this in a terminal:
         cd /opt/altera7.2/quartus/linux
         sudo ln -s libX11.so.6.2 libX11.so.6
Old info (outdated)

The version of Linux distro is important. Some latest distro use udev, and need extra hacking, see  Instalation on unsupported distributions . Though it is possible to use other Linux disto or version, it might be difficult for newbies.
To install Altera Design Software 7.2 in  Ubuntu Linux , follow this step by step tutorial :  Altera Software Installation Tutorial for Ubuntu.pdf
This tutorial show how to install all the tools including setting up usbfs for USB blaster. It might also apply for other Linux distros based on Debian.
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
很高兴能帮助您!以下是Quartus II 8.0的安装教程: 1. 首先,您需要从Intel官方网站下载Quartus II 8.0的安装程序。您可以在Intel官方网站的下载中心找到该软件。 2. 下载完成后,运行安装程序。在安装向导中,您需要接受许可协议并选择安装目录。 3. 接下来,您需要选择要安装的组件。Quartus II 8.0包含多个组件,如设计环境、模拟器等。根据您的需求选择所需的组件,并点击“下一步”。 4. 在下一步中,您可以选择是否创建快捷方式,并选择是否将Quartus II配置为默认项目打开器。完成后,点击“下一步”。 5. 然后,您需要选择要安装的目标文件夹。默认情况下,安装程序会将Quartus II安装在C盘的Program Files文件夹下。您可以选择其他目标文件夹,并点击“下一步”。 6. 在接下来的步骤中,您可以选择是否创建快捷方式。如果您想在桌面上创建快捷方式,请勾选相应选项。点击“下一步”继续。 7. 安装程序会显示一个摘要页面,列出您选择的安装选项。请仔细检查并确认设置正确无误后,点击“安装”开始安装过程。 8. 安装完成后,您可以选择是否启动Quartus II。如果您想立即启动软件,请勾选相应选项并点击“完成”。 现在,您已经成功安装了Quartus II 8.0。您可以根据需要进行软件的配置和使用。希望这个教程对您有所帮助!如有其他问题,请随时提问。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值