一键通(PoC)市场发展的几点思考

一键通(PoC)市场发展的几点思考

张堂辉 潘松

普天信息技术研究院

 

1.      一键通的市场背景

在欧美等发达国家,随着手机普及率的日渐提高和市场的日益成熟,移动运营商都面临着价格下滑、客户转网、ARPU值下降等难题。即使在中国,移动新用户的增幅也开始放缓,随着小灵通的介入,联通CDMA网的大规模放号,移动通信市场的竞争也越来越激烈。

在这种情况下,技术和业务创新越来越受到运营商的重视。短信,彩铃等新业务的崛起可以说是中国网络股盈利的决定性因素,同时也给运营商带来了丰厚的利润,由此可见业务创新对于运营商的重要性。2003年,在法国举行的3GSM世界大会和在美国举行的CTIA 无线大会上,一种类似对讲机的一键通业务(PoC-Push to talk Over Cellular)开始浮出水面,引起了移动通信界极大关注。一键通的特点是,PoC用户按下手机上的一个对讲键后就可以和其他用户或者群组进行半双工的对话。

提到PoC技术,就不能不提到美国的无线运营商Nextel公司,他们早在1996年就在摩托罗拉的iDEN集群网上就推出了称为Direct Connect的PTT(Push To Talk)服务。业界普遍认为正是由于拥有该项服务,Nextel在美国运营商中拥有最高的ARPU值($70)和最低的客户流失率(2%),令其他公司垂涎三尺。而Nextel的客户90%都在使用这项业务。PTT业务商业上的成功使得其他运营商也试图在公网上实现该业务,进而诞生了PoC业务。

2003年底开始,美国前几大运营商如Verizon和Sprint都陆续的推出了他们的PoC业务。此后欧洲的运营商也开始了PoC业务,国内的运营商也进行了相关的试验。PoC业务一度被炒得非常火热,很多分析家把它当作下一代3G网络中的杀手级应用。但是到目前来看,PoC的运营并非最初预想的那样顺利,PoC的市场之路在何方,本文试图从多角度对PoC个业务进行分析,对PoC的市场发展方向进行一些探讨。

2.      一键通技术特点分析

PoC业务本质上是在移动网络分组域上实现的一种VoIP技术,所以PoC的通话质量很大程度依赖于移动通信系统所能支持的带宽。在传统的2.5G系统上实现的PoC业务,由于系统带宽问题,系统实现的效果并不好,普遍存在语音延迟较大,抖动明显的问题。由于3G通讯系统分组域带宽要比2.5G系统高的多,因此在3G通讯系统上实现PoC业务才比较合适。在3G网络没有建立前,大规模开展PoC业务是很难保证业务质量的。

呼叫建立时延是PoC系统中最困难的问题之一,呼叫时延长短对于用户的使用体验来说是至关重要的。对于呼叫建立时延,理论上可以通过改变分时隙寻呼模式、优化信令压缩和报头压缩技术等手段来降低。但是这些方法中有不少需要对系统进行改动,如果不对系统进行改动,那么所能够做到的优化是比较有限的。如果改动比较大,由于很多东西没有标准化的支持,必然带来很多的互通性问题。目前来说,对于设备商和运营商来说,都是一个两难的选择。

PoC业务能够大规模开展的一个重要问题是业务的互通,实际上就是一个标准化的问题。早期由爱立信、摩托罗拉、诺基亚和西门子等通信巨头联合开发了PoC的工业规范,目前该规范已经发展到2.0。此后OMA组织也专门成立了工作组对PoC业务进行标准化工作,今年3月份Release1.0规范正式推出。但是目前这些规范仍然是比较粗糙的,很多细节都处于未定状态,更谈不上能够进行设备的IOT测试了。实际上,目前已经进行试验的设备,尤其是一些国际通信大厂商的设备,也未真正遵循OMA规范,应此在标准化和互通问题上还有较长的路要走。

PoC虽然可以实现群组呼叫,但是从技术角度讲,它的组容量一般都不会太大。这是因为它其实是为每一个组用户都分配了一条数据承载通道,而不是象集群技术那样用的是共享信道的方法,因此对无线资源的占用是还是比较大。而且和集群网相比,它的调度功能相对来说是非常弱的。这些都会制约它的使用范围。

PoC还有一个重要的特色是有所谓的“呈现(Presence)”服务,PoC的用户可以订阅其他用户的状态或状态改变信息。这个和互联网上时髦的QQ等即时通讯业务是一致的,通过呈现服务用户可以设置自己的状态,例如“在线”,“不在线”,“用户忙”,“会议中”等。也可以通过设定黑名单,白名单来指定定只有某些用户才能订阅它的“呈现”信息,还可以设置类似“隐身”等状态。而这一点对于很多习惯使用即时通讯工具的互联网用户来说是非常有吸引力的。

3.      一键通的市场发展策略

在移动通信市场中,移动运营商在产业链中起着控制和主导的角色,在3G时代这一点将体现得更加明显。对于PoC业务来说,它的市场的培育和发展,合适的发展无疑是至关重要的。目前在2.5G网络上的总的来说PoC的运营是不太成功的,这里面除了上面技术分析中存在的种种问题之外,还有一个很大的问题就是一键通的市场发展策略问题。

市场发展策略的首要问题是市场定位,也就要弄清楚PoC业务准备给什么什么人用,PoC业务能为他们带来什么?一般的说来PoC的市场分为两类,一类是垂直用户,一类是水平用户。垂直用户主要是如出租公司、林业,物流等行业用户,他们一般需要一些群组通话和集群等调度的功能。水平用户是家庭,小组,或者公司部门,小团队等。

对于行业用户来说,一键通最大的优势在于覆盖范围很大,这是专用集群网不能比拟的。但是那些公安,交通执法部门等行业用户,他们对于调度要求,时延和可靠性要求都比较高,而对覆盖范围要求不高(限于某个城市和地区)。一键通用户群定位在他们上面是不合适的,这是由于一键通技术特点决定的。这一类客户更适合使用专用集群网业务,在业务发展中需要注意这一点。由于一键通业务可以实现群组呼叫功能,因此随着业务的开展,大量的群呼可能对系统的无线资源造成很大的影响,因此那种用户密集的行业用户,比如餐馆中的调度,其实也是不太适合使用的。

对于水平用户来说,他们对资费比较敏感,而且他们不需要调度等功能,使用的模式主要是一对一和一对多群组语音通话。因此这时候需要强调的是快捷的通讯方式(可以同时和多个人联系)和较低的资费(由于PoC是数据业务,一般没有长途费,漫游费等)。尤其是一对一的通话,如果没有资费的吸引,实际的体验远不如直接打电话。除去语音功能外,在面对水平用户的时候,前面提到的“呈现”服务将会是很重要的用户体验,我们认为此时应该把用户定位在那些追求时尚的年轻人上面。而且应该实现一键通和即时消息业务之间的互通,这样可以极大的激发用户的业务使用热情。

这里面引出了市场发展策略的另外一个问题,就是产业价值链的培养。事实上如果仅仅是能够进行群组语音业务,一键通的市场吸引力还是非常有限的。整个价值链中应该包括应用开发商、内容提供商、运营商等。比如一键通和即时消息业务的互通,就不可能靠运营商单独来完成。还比如在PoC业务平台的基础上,可以开展新型的定位业务,可以通过PoC系统将老人或小孩的位置信息提供给群组内的多个用户(父母,亲属等)。因此,运营商在开展一键通业务的初始就应该和产业链的其他厂商紧密合作,围绕一键通推出各种有吸引力的增值业务,才能把一键通的市场推向繁荣。

 

4.      一键通的未来

表面上看,目前运营商开展该业务的情况并没有预期的理想,因此也有很多人认为该业务完全不可能成为3G的杀手业务,甚至对该业务的发展表示怀疑。从表面上,如果只是作为一个对讲机来看的话,还要面临呼叫时延大,语音质量等困难,这样的怀疑也是有一定道理的。但是该业务最重要的核心在于这是一个融合多媒体业务的全IP的平台,在该平台上很容易开发诸如PTV(Push To Video)等新业务以及各种增值业务。

由于PoC采用的是VoIP的技术,因此在网络融合上也存在者天然的优势。PoC这样的业务平台对于接入网来说是透明的,无论接入网采用的是WiMax、WiFi、NGN还是因特网,PoC平台都可以很好的运行和使用,这是传统的技术很难做到的。

在网络演进过程中,它和移动网络的IMS系统关系非常密切,PoC的网络架构很容易演进到基于IMS的下一代核心网架构中。对于未来全IP的移动核心网架构,语音业务也是要在IMS系统上承载的。这样PoC也可以成为一个试金石,可以用来作为向下一代业务转型的跳板。这也许才是一键通业务核心的价值所在。

随着3G时代的到来,当系统的带宽不再是瓶颈问题后。随着PoC标准化的完善,IP网络上QoS技术的进步,我们有理由相信,PoC业务会有一个光明的前景。

 

发表于《当代通信》200517

注:转成博客文已经丢失了部分格式数据,转载或拷贝请联系作者,请尊重知识产权! 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: Vivado是一款由Xilinx公司提供的综合型设计套件,用于设计和开发FPGA(现场可编程逻辑阵列)和SoC(片上系统)。过Vivado,可以进行FPGA设计的各个阶段,包括设计输入、综合、布图、实现和验证。在Vivado中,可以使用HDL(硬件描述语言)如Verilog或VHDL来编写和描述设计。 为了创建一个Vivado的Proof of Concept(概念验证),我们需要明确具体的需求和目标。假设我们的PoC是设计一个简单的计数器电路,其功能是在每个时钟上升沿产生一个递增的计数值,并在达到特定阈值时产生一个输出信号。 首先,在Vivado中创建一个新的项目,并选择目标设备。在项目设置中,确保选择适当的FPGA型号和约束文件。 然后,在设计源代码中编写计数器电路的描述。可以使用Verilog或VHDL编写以递增计数器值的方式,如: ```verilog module counter ( input wire clk, input wire reset, output wire [7:0] count, output wire output_signal ); reg [7:0] count; always @(posedge clk or posedge reset) begin if (reset) count <= 0; else count <= count + 1; end assign output_signal = (count == 255) ? 1'b1 : 1'b0; endmodule ``` 接下来,将设计源代码添加到Vivado项目中,并运行综合过程。在综合后,会生成一个逻辑网表表示设计的结构。 然后,使用Vivado的布图功能,将逻辑网表映射到目标FPGA设备的物理资源上。过选择适当的约束文件,可以定义FPGA引脚到设计模块输入输出端口的映射关系。 在布图完成后,可以进行位流过程,将设计下载到FPGA设备中进行验证。过连接FPGA设备和外围设备,例如数字逻辑分析仪,可以进行信号观测和验证。 最后,对设计性能和功能进行评估。如果计数器功能正常,并且输出信号在达到阈值时正确触发,那么PoC设计即为成功。 总结起来,使用Vivado进行PoC设计可以分为以下步骤:创建项目,编写设计源代码,综合,布图,位流,验证和评估设计的功能和性能。一旦设计验证成功,可以进一步优化和完善该设计。 ### 回答2: Vivado是赛灵思公司开发的一款可编程逻辑器件(FPGA)设计软件。POC是指Proof of Concept,即概念验证,用于验证某个想法的可行性,常是设计一个简化的原型。 在Vivado中进行POC的过程大致分为以下几个步骤: 1. 定义项目:在Vivado中创建一个新的项目,并选择适当的FPGA型号和开发板。 2. 添加设计文件:将需要进行验证的IP核、逻辑设计文件等加入到Vivado项目中。 3. 设计IP核:根据需要,在Vivado中使用IP Integrator或其他工具设计所需的IP核,例如数据处理模块、信接口等。 4. 进行综合与布局布线:使用Vivado的逻辑综合和布局布线工具将设计文件综合为逻辑网表,并进行布局布线,以生成一个可执行的位文件。 5. 进行时序仿真:使用Vivado自带的仿真工具,对设计进行时序仿真,验证其功能正确性和时序性能。 6. 下载到FPGA:将生成的位文件下载到目标FPGA芯片上进行验证。 7. 进行验证与分析:对FPGA芯片进行功能验证和性能评估,并进行相关数据分析,检查是否满足需求和预期。 8. 调试与优化:根据验证和分析结果,对设计进行调试和优化,消除潜在的问题,提高设计的性能和可靠性。 总之,过Vivado设计一个POC可以帮助我们验证设计的有效性和可行性,并为进一步的开发和优化提供基础。 ### 回答3: Vivado是一款由Xilinx公司开发的集成电路设计工具,用于设计、仿真和综合FPGA(现场可编程门阵列)和SoC(片上系统)等硬件电路。 要使用Vivado进行一个POC(概念验证),我们可以按照以下步骤进行: 1. 创建新工程:打开Vivado软件并创建一个新的工程。选择一个合适的FPGA或SoC目标设备,并设置好工程的名称和存储路径。 2. 设计电路:在设计界面中,可以使用Vivado提供的各种设计工具创建电路原理图或使用硬件描述语言(如VHDL或Verilog)编写电路设计代码。 3. 添加IP核:Vivado支持用户在设计中添加现成的IP(知识产权)核,以提高设计效率和减少开发时间。可以从Xilinx提供的IP库中选择适合的核,并将其添加到设计中。 4. 仿真验证:在设计完成后,可以使用Vivado自带的仿真工具进行电路功能验证。过仿真,可以检查设计的正确性和性能,并进行必要的调试和修改。 5. 综合和进程实现:在功能验证过后,将设计的电路综合为门级网表,并对其进行进一步的物理实现。在这个阶段,可以进行资源分配、时序约束和布局布线等操作。 6. 生成比特流:当电路实现成功后,可以生成比特流(bitstream)文件,该文件包含了完整的配置信息,可以烧录到目标FPGA或SoC设备中进行验证。 7. 硬件验证:将生成的比特流文件下载到目标硬件设备中,并对其进行验证和测试。测试可以过连接外部设备以及使用自己编写的代码进行。 过以上步骤,我们可以使用Vivado进行一个POC的设计。这个POC可以是一个小型的硬件模块、电路或者是一个更复杂的系统。Vivado过提供完善的设计工具链和功能支持,帮助开发者高效地完成硬件设计和验证。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值