2021-04-21 一个输入8421BCD码与4进行比较的VHDL程序

一个输入8421BCD码与4进行比较的VHDL程序

前言
本次实验所用软件为Quartus||9.0,所用板载为EPF10K20T144-4

注意事项
(1)创建VHDL工程时选择板载系列一定要按照板载芯片上的系列选中。
(2)目前使用的Quartus || 9.0不支持输入汉字的功能,只支持显示汉字的功能,所以想要在上面显示汉字,得先在记事本中写好自己要写的汉字内容,然后再复制粘贴到Quartus || 9.0上的文件中。
(3)安装驱动程序前一定要记得禁止驱动程序签名。
(4)配置完引脚以后一定要记得要再编译一次。

实验题目
1、有一个比较电路,当输入的一位8421BCD码大于4时,输出为1,否则为0,试编写VHDL程序。

代码实现
1、实现思路如下,输入一个8421BCD码,然后建立一个case语句,当输入小于等于4时,输出0,反之输出1。(注:代码如下)

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY than IS
   PORT(
        input : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
        result : OUT STD_LOGIC
        );
END than;
ARCHITECTURE ONE OF than IS
BEGIN
PROCESS(input)
BEGIN
   CASE input IS
	    WHEN "0000" =>result<='0';
		WHEN "0001" =>result<='0';
		WHEN "0010" =>result<='0';
		WHEN "0011" =>result<='0';
		WHEN "0100" =>result<='0';
		WHEN "0101" =>result<='1';
		WHEN "0110" =>result<='1';
		WHEN "0111" =>result<='1';
		WHEN "1000" =>result<='1';
		WHEN "1001" =>result<='1';
		WHEN "1010" =>result<='1';
		WHEN "1011" =>result<='1';
		WHEN "1100" =>result<='1';
		WHEN "1101" =>result<='1';
		WHEN "1110" =>result<='1';
		WHEN "1111" =>result<='1';
	END CASE;
END PROCESS;
END ONE;

时序仿真实现

烧入板载实现


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值