76,Verilog-2005标准篇:模块定义(module definition)的语法结构

Verilog HDL支持层级化的硬件描述结构,允许将模块(module)嵌入其他模块中。在高层级的模块内创建低层级模块实例,并通过输入、输出和双向端口与低层级模块实例通信,模块的输入/输出(I/O)端口可以是标量或矢量。

模块定义应包含在关键字moduleendmodule之间。关键字module后面的标识符是所定义模块的名称。可选的参数定义指定了模块参数的有序列表。可选的端口或端口声明指定了模块端口的有序列表。在模块实例化时,模块参数列表(module_parameter_port_list)和端口列表(ports_list)中定义参数的顺序可能很重要。该列表中的标识符应在模块定义的inputoutputinout 语句中声明。在端口声明列表中声明的端口不得在模块内部重新声明。

在定义模块时,关键字 macromodule 可以与关键字 module 互换使用。编译器实现时可以选择以不同方式处理以macromodule关键字开头的模块定义。如下表1所示为模块的语法定义:

表1:module的语法定义

点赞加关注博主(ID:FPGA小飞)的博文,咱们一起系统学习verilog最终标准IEEE Std 1364-2005吧!

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值