Verilog Testbench

一、产生时钟的方式

1. 使用forever,注意一定要给时钟clk赋初始值,否则信号的缺省值为z。

initial begin
    clk = 0;
    #delay;
    forever #(period/2) clk = ~clk;
end

2. 使用always

initial begin
    clk = 0;
    #delay;
    always #(period/2) clk = ~clk;
end

3. 使用repeat产生3个时钟

initial begin
    clk = 0;
    #delay;
    repeat(6) #(period/2) clk = ~clk;
end

4. 产生占空比非50%的时钟

initial begin
    clk = 0;
    #delay;
    always begin
        #3 clk = ~clk;
        #2 clk = ~clk;
    end
end

二、产生复位信号的方式

1. 异步复位

intial begin
    rst = 1;
    #100;
    rst = 0;
    #500;
    rst = 1;
end

2. 同步复位

initial begin
    rst = 1;
    @(negedge clk) rst = 0;
    #30;
    @(negedge clk) rst = 1;
end

3. 同步复位

initial begin
    rst = 1;
    @(negedge clk) rst = 0;
    repeat(3) @(needge clk);
    rst = 1;
end

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值