串口顶层模块

串口顶层模块Verilog代码实现

module uart_rs232
(
  input sys_clk,
  input sys_rst,
  input rx,
  
  output tx,
);

parameter UART_BPS=14'd9600;
parameter CLK_FREQ=26'D50000000;

//
wire [7:0]po_data;
wire po_flag;

uart_rx
#(
.UART_BPS (UART_BPS), //串口波特率
.CLK_FREQ (CLK_FREQ) //时钟频率
)
uart_rx inst
(
.sys_clk(sys_clk),
.sys_rst(sys_rst),
.rx(rx),
.po_data(po_data),
.po_flag(po_flag)
);

uart_tx
#(
.UART_BPS (UART_BPS), //串口波特率
.CLK_FREQ (CLK_FREQ) //时钟频率
)
uart_tx inst
(
.sys_clk(sys_clk),
.sys_rst(sys_rst),
.tx(tx),
.pi_data(po_data),
.pi_flag(po_flag)
);
 endmodule

	  
	   
	   
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值