【FPGA开发】Libero日常使用流程技巧 --持续更新

本文章用来记录日常使用libero的一些心得和流程,后续会发布一些完整流程demo

本文章的内容基于microsemi SF2 M2S005-FG484l进行,该芯片包含一个MCU和一个FPGA

MCU和FPGA之间通过APB进行对应的IO通讯

1. 如何进行GPIO和output的连接,并且更新引脚约束文件

(Smart Design里面 -- 对应Vivado的Block design)

1.1 首先创建一个port(点击add port) 设置为 input或者output

1.2 之后连接会比较困难,就需要点击上面的第七个图标进入quick connect,之后可以直接选择两个引脚进行连接。

1.3 连接好之后点击第二个按键进行DRC检查

1.4 点击第一个generate component

1.5 在design flow里面进行综合,就会发现顶层文件,代码层面已经自动连接上了

1.6 点开Constraint Manager 在综合后点击Edit可以编辑对应的IO引脚绑定

--结束

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值