Vivado 3_8译码器(含测试代码)

本篇文章使用Verilog语言编写实现带有优先级的83译码器,含有设计代码和测试代码。

一、输入输出和真值表

3_译码器输入输出和真值表

二、设计代码
`timescale 1ns / 1ps
module decode_3_8(    
    input [2:0]in,
    output [7:0]out
    );
    assign out[0]=(in==3'd0);
    assign out[1]=(in==3'd1);
    assign out[2]=(in==3'd2);
    assign out[3]=(in==3'd3);
    assign out[4]=(in==3'd4);
    assign out[5]=(in==3'd5);
    assign out[6]=(in==3'd6);
    assign out[7]=(in==3'd7);
endmodule
三、仿真代码
`timescale 1ns / 1ps
module decoder_3_8_tb();
    reg [2:0]in0;
    wire [7:0]out0;
    decode_3_8 decoder_3_80(.in(in0),.out(out0));
    integer i=0;
    initial begin
            for(i=0;i<=7;i=i+1)begin
            # 10
            in0=i;
        end
        # 30
        $finish;
    end
endmodule
四、仿真结果

3_8译码器仿真波形图
由波形图可得,在输入信号为000时,输出信号为out0为1、在输入信号为001时,输出信号out1为1,以此类推。即该38译码器可将输入的三位二进制信号转换为其对应的十进制结果输出。

仅供学习交流,如发现错误,欢迎大家指正。

  • 1
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小橘子xxb

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值