Modelsim tcl 导出波形数据到MATLAB

本文内容来源于一篇博客 Modelsim导出数据的两种方法

过程定义

proc write_sim_data {env name radix cycle file} {
    set fid [open $file w]
    for {set i 0} {$i <= $::now} {incr i [expr $cycle * 1000]} {
        set str [exa -env $env -radix $radix -time ${i}ps {*}$name]
        puts $fid $str
    }
    close $fid 
}  

参数的定义见原文

调用示例

write_sim_data tb_ip_fft2/u_top/u_ip_ram {ram_addra ram_dina ram_douta} unsigned 10 1.txt   

结果

在这里插入图片描述
在这里插入图片描述

MATLAB观察

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述


都看到这儿了,点个赞呗
||
\/

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值