自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(116)
  • 收藏
  • 关注

原创 你好,FPGA!

你好,FPGA!

2022-06-08 11:13:22 451

原创 FPGA的多种编程方式

为了将计算机领域的人才引入到FPGA中,各家开发了HLS(High Level Synthesis,高级层次综合) 方便人才们通过他们所熟悉的 C/C++将那些计算机领域先进的架构带到 FPGA 中,但是,基于FPGA的C/C++开发和原生的C/C++当然不一样,比如不支持动态内存(这本来和 FPGA 架构有冲突),也不支持系统级的操作。随着FPGA应用的日益复杂,SystemVerilog逐渐兴起,它是Verilog的扩展,提供了一些高级的特性和抽象,可以更方便地进行复杂系统级设计。

2024-03-23 16:27:20 824

原创 基于FPGA温度采集的方案

3.利用外部ADC芯片:将外部温度传感器输出的模拟信号连接到FPGA外部的模拟-数字转换器(ADC)芯片上,然后通过FPGA与ADC芯片进行数据通信。一种常见的ADC芯片是ADI(Analog Devices)的AD7888,它可以实现多通道的模拟信号转换,并与FPGA通过串行接口(如SPI)进行通信。例如,Xilinx系列的FPGA芯片(如Spartan、Virtex和Zynq系列)都具有片上温度传感器。以上仅是一些常见的方案和芯片示例,实际选择应根据项目需求、可用资源和性能要求来决定。

2024-03-23 16:11:37 473

原创 JTAG电路在FPGA中的应用

1.测试:JTAG被广泛用于芯片级别的测试,可以通过在芯片上的引脚上插入JTAG接口,检测和诊断芯片中的错误。通过JTAG接口,可以将FPGA的配置位流加载到FPGA芯片中,以定义FPGA的逻辑功能和连接,从而实现特定的功能。通过对FPGA内部信号进行采样和分析,可以评估和优化设计的性能,确定和解决时序问题,以提高FPGA的时钟频率和响应速度。通过JTAG接口,可以读取FPGA内部的寄存器和状态信息,监测温度、电压和时钟频率等参数,从而实现对FPGA芯片的健康状况和运行状态的监测。

2024-03-22 14:28:57 792

原创 电源和地之间电容的作用

总之,VCC和GND之间的电容在电子电路中具有多种重要的功能,例如电源滤波、电压稳定、高频耦合和绕流以及电荷储存等。2.电压稳定:电容具有储能特性,当电源电压发生瞬态变化时,电容可以提供短暂的电流供应,以稳定电压,避免电压波动对电路的影响。4.储能:电容可以储存电荷并提供瞬态电流,当电路中需要额外的电流供应时,电容可以释放储存的电荷,以满足瞬时负载需求。3.高频耦合和绕流:在高速数字电路和高频模拟电路中,电容可以用于提供VCC和GND之间的高频耦合和绕流路径。

2024-03-22 14:16:22 396

原创 FPGA产业的未来趋势怎么样?

3.高级设计工具的发展:随着FPGA的规模和复杂性的增加,设计人员需要更强大的设计工具来简化和加速设计过程。未来,预计会有更智能化的设计工具和自动化流程,使得设计人员能够更快速、更容易地完成FPGA设计。2.集成度的增加:FPGA在集成的可编程逻辑单元数量和资源方面越来越强大。未来的趋势是进一步提高FPGA的集成度,将更多的逻辑资源、存储器单元、高速接口和其他外设集成到单个芯片中,以满足复杂应用的需求。总体而言,FPGA产业的未来趋势将是更高性能、更低功耗、更高集成度和更智能化的设计工具。

2024-03-22 08:57:19 520

原创 FPGA的应用领域

这些只是FPGA应用的一部分示例,实际上,由于FPGA的灵活性和可重新配置性,它们在几乎所有需要定制硬件实现的领域都有应用。6.智能物联网(IoT):FPGA在智能物联网设备中的应用越来越普遍,用于实现感知、数据处理、通信和安全等功能。例如,它们可以用于车载娱乐系统、发动机控制单元、自动驾驶系统、航空电子设备等。1.通信与网络:FPGA用于各种通信和网络设备,如路由器、交换机、光纤通信设备等。它们在科学计算、密码学、人工智能等领域被用于实现高性能的并行计算。

2024-03-22 08:47:58 462

原创 FPGA布局布线的可行性,以及FPGA布局布线失败的分析

所以这是一个比较麻烦的过程,但是,这也印证了前期设计规划的重要性,即要求设计者在前期就能够预见到该设计可能遇到的问题,在做逻辑电路架构和编码的时候注意到这种潜在的危险,争取在概要设计和详细设计阶段就把该类风险考虑进去,编码的时候养成良好的编码风格和习惯,最大程度地减少该类事情的出现。在某些FPGA中,特别是在大规模的FPGA中实现大规模的设计,如果前期没有对设计的逻辑电路做好结构优化,同时不注意代码风格,那么很可能会带来一个问题:布局布线失败。当设计人员编写RTL代码时,他们描述了逻辑电路的功能和行为。

2024-03-20 14:51:31 743

原创 FPGA的工作原理

1.逻辑门阵列(Logic Array Blocks,LABs):FPGA芯片由大量的逻辑门组成,这些逻辑门以LABs的形式分布在FPGA芯片的表面。2.可编程逻辑单元(Configurable Logic Elements,CLEs):CLE是FPGA芯片中最小的可编程单元,它由逻辑门和存储元件(如寄存器)组成。配置位流包含了描述FPGA内部逻辑和互连的信息,它被加载到FPGA芯片中,将逻辑门和互连资源配置为特定的功能和连接方式。配置位流根据设计需求,将逻辑门和互连资源配置为特定的逻辑功能和连接方式。

2024-03-20 14:30:13 521

原创 FPGA芯片的优缺点

由于FPGA芯片只需要在需要的时候激活特定的逻辑电路,而其他部分可以保持关闭,因此能够实现更高的能效。2.硬件资源有限:尽管FPGA芯片的逻辑资源和外设接口很丰富,但相对于ASIC芯片来说,它们的资源是有限的。对于一些非常复杂和资源密集型的应用,FPGA芯片可能无法满足需求。1.成本高:相对于通用处理器、微控制器和ASIC芯片,FPGA芯片的成本通常较高。这是因为FPGA芯片的设计和生产需要更高的技术投入和复杂的工程流程。4.低延迟:由于FPGA芯片中的逻辑电路是直接由硬件实现的,所以可以实现很低的延迟。

2024-03-19 16:54:06 867

原创 FPGA 开发需要具备的基本知识

3.FPGA架构和器件:了解不同型号和系列的FPGA器件及其架构,包括查找表(LUT)和寄存器的组织方式,时钟网络和时序约束等。6.时序设计与时序约束:掌握时序设计的基础知识,包括时钟信号的生成、时序分析和时序约束的设置,以确保设计在正确的时序要求下运行。9.知识与经验:持续学习和积累FPGA设计的相关知识和经验,包括学习FPGA架构的最新发展、新型器件和工具的使用等。5.硬件设计流程:了解硬件设计的整个流程,包括设计规范、综合、布局和布线、时序约束、时序分析和时钟域等概念。

2024-03-19 16:40:14 305

原创 FPGA的复位操作

在Xilinx的FPGA中,建议使用高有效的复位信号,并采用异步复位同步释放的方式。对于同步复位与异步复位,同步复位:复位信号和时钟同步,当时钟上升沿检测到复位信号,执行复位操作。异步复位:不受时钟影响,只要复位信号有效,就会进行复位。复位操作中所谓的“同步”、“异步”,指的是复位的执行与时钟 (CLK)是否同步,一般都是通过敏感列表中是否包含复位信号来判断。由于Xilinx目标库内的D触发器只有异步复位端口,如采用同步复位,就会耗费更多的逻辑资源 (每次增加一个LUT作为反相器)。

2024-03-18 15:05:29 512

原创 自学 FPGA 需要注意什么?

比如:做一个LED 控制项目,使用开发板上的 LED 灯,编写代码控制 LED 的亮灭,以验证基本的硬件逻辑设计和编程能力;熟悉数字逻辑门:理解常见的数字逻辑门,如与门、或门、非门等,以及它们的真值表和逻辑功能。4.硬件平台:选择合适的 FPGA 开发板,一些常见的开发板有 Xilinx 的 Zynq 系列和 Altera 的 Cyclone 系列。7.持续学习:FPGA 技术日新月异,要保持持续学习的态度,关注新技术和行业趋势,了解 FPGA 技术的最新发展和应用领域。

2024-03-18 15:00:25 383

原创 基于FPGA的FFT傅里叶变换红外光谱技术简述

在傅里叶变换红外光谱技术中,由于数据量大且要求实时处理,功耗控制是一个重要的考虑因素,使用FPGA芯片可以提供更高的计算效率和更低的功耗。此外,根据不同的FPGA平台和具体应用场景,FFT的硬件实现可能会有所不同。需要注意的是,以上只是一个简化的步骤示例,实际的FFT实现可能更为复杂,涉及到具体的FFT算法变体的选择,数据流的控制和缓存等。总之,FPGA芯片在傅里叶变换红外光谱技术中具有高度的计算能力、实时性、低功耗和灵活性等优势,可以加速数据处理,提高性能和效率,推动该技术的应用和发展。

2023-08-06 16:06:56 369

原创 ChatGPT对FPGA技术问答应用(人工智能AI专业技术支持)

ChatGPT的应用的元年,作为FPGA技术爱好者,如何运用人工智能AI来提高技术水平,一些的几个问答,也许能够说明问题,让大家了解如何与ChatGPT对话,获取自己想要的技术支持。对上面的第13条内容非常感兴趣,能否针对该领域的技术创新和应用优势展开,详细说明一下,列出10个以上的FPGA优势,举出5个实际的应用案例。希望上述方法能帮助您找到所需的论文和文献。

2023-08-06 02:01:44 307

原创 FPGA在过去的20年都有哪些最有影响力的25个研究成果?

这些研究成果代表了过去20年FPGA领域的一些重要进展和创新,推动了FPGA技术的发展和广泛应用。当然,还有许多其他研究成果也对FPGA领域产生了重要影响,具体清单可能因个人观点和研究领域的不同而略有差异。

2023-08-04 20:34:09 332

原创 15年FPGA工作经验:诚实、耐心和不断学习的关键

FPGA技术在不断变化,在过去的15年里,我见证了FPGA的快速发展和革新。我持续关注最新的技术发展,参与培训和研讨会,并不断学习新的编程语言和工具。作为一位有15年FPGA工作经验的工程师,我能够感受到这个行业的不断变化和技术的不断发展。回顾这些年的工作经历,我深刻体会到了几个重要的心得体会,这些体会成为我职业生涯中的指南。在工作中,我始终坚持诚实,确保我设计的系统的可靠性和稳定性。我们必须始终保持开放的思维方式,审视旧有的方法和做法,并积极接受新的思想和技术。此外,团队合作也是我在工作中非常重视的。

2023-08-04 20:24:24 227

原创 FPGA技术-相控阵雷达和电子战应用的FMC采集卡AD板卡和DA板卡

计数器/定时器:计数器/定时器在许多应用中具有很重要的作用,包括对数字事件产生次数的计数、数字脉冲计时,以及产生方波和脉冲。模拟量:按信号类型分,有电流型(4-20mA,0-20mA)、电压型(0-10V,0-5V,-10-10V)等,按精度分,有12bit,14bit,16bit等。AI(AD)/AO(DA)——模拟量输入/输出,DI/DO——数字开关量输入/输出。AI、DI、AO、DO、PI、AD、DA,触发器,计数器/定时器含义?DI(数字开关量输入):开关量输入 反映开关量的状态是分还是合。

2023-07-13 16:33:34 467

原创 MIPI DPHY接口(基于Xilinx FPGA实现)案例分析

在Xilinx FPGA实现MIPI DPHY接口的案例(包括CIS协议层)。截止目前为止,Xilinx仅在Ultrascale+及其以上版本的FPGA IO可直接支持MIPI 电平输入,其他的,都需要转换成LVDS来接收。

2022-10-26 23:26:28 4140 2

原创 FPGA芯片引脚如何理解和使用

大家好,"FPGA功夫熊猫"汇总知识点,详细介绍汇总FPGA芯片引脚的相关知识。 分配fpga管脚时该怎么选择,引脚有什么属性需要考虑,引脚有几个属性:Reserved,Group,I/O Bank,Vref Group,I/O standard(3.3-V LVTTL(default))分别是什么意思,要怎么设置? 1)、首先说IOstandard:这个是用于支持对应不同的电平标准。FPGAIO口的电压由IO bank上的VCC引入。一个bank 上引入3.3VTTL电平,那么

2022-07-04 23:53:19 13506

原创 压缩算法:基于FPGA的Varint编码实现(附代码)

一、概念什么是Varint编码呢?首先我们来介绍一下Varint编码,Varint编码就是一种用一个或多个字节将数据序列化,并对数据进行压缩的方法,因此也可以称之为Varint压缩算法。在进行数据传输过程,我们经常用大位宽来进行数据的传输。有时候是32位或者64位传输某个数据,然而,一直使用大位宽来传输数据也有它的缺点,比如传输很小的数据时,会造成资源的浪费。例如,我们要传送一个1,而用64位来传输的话就需要表示为00000000_00000000_00000000_00000000_000000

2022-06-30 15:22:17 1310 1

原创 FPGA系统性学习笔记连载_Day10 【时序逻辑、竞争冒险、同步复位、异步复位】之【计数器设计、verilog语法补充】FPGA技术江湖

一、时序逻辑时序逻辑是Verilog HDL 设计中另一类重要应用。从电路特征上看来,其特点为任意时刻的输出不仅取决于该时刻的输入,而且还和电路原来的状态有关。从电路行为上讲,不管输入如何变化,仅当时钟的沿(上升沿或下降沿)到达时,才有可能使输出发生变化。1、在描述时序电路的always块中的reg型信号都会被综合成寄存器,这是和组合逻辑电路所不同的。2、时序逻辑中推荐使用非阻塞赋值“...

2022-06-30 14:53:29 510

原创 FPGA系统性学习笔记连载_Day4 Xilinx ZYNQ7000系列 PS、PL、AXI 、启动流程基本概念篇

Zynq 就是两大功能块,PS 部分和 PL 部分, 说白了,就是 ARM 的 SOC 部分,和 FPGA部分。其中,PS 集成了两个 ARM Cortex™-A9 处理器,AMBA®互连,内部存储器,外部储器接口和外设。这些外设主要包括 USB 总线接口,以太网接口,SD/SDIO 接口,I2C 总线接口,CAN 总线接口,UART 接口,GPIO 等。三、PS 和 PL 互联技术3.1、ZYNQ 作为首款将高性能 ARM Cortex-A9 系列处理器与高性能 FPGA 在单芯片内紧密结合的产品,

2022-06-29 16:16:19 2515

原创 FPGA系统性学习笔记连载_Day1数字电路基础篇

4、两块芯片连接时,电压要满足如下关系对于数字芯片,在数据手册中都会有相应的器件参数,这个参数基本是行业术语;Voh:芯片输出的电压最大值Vol:芯片输出的电压最小值Vih:芯片输入的电压最大值Vil:芯片输入的电压最小值注:2个数字芯片之间要稳定的传输信号,需要满足下面的电压关系:Voh > VihVol < Vil5、一个实际芯片的参数在Vcc=2v时,Voh = 1.9v,Vol = 0.1v...

2022-06-29 15:40:45 519

原创 算力经济下DPU芯片的发展机遇

目前各类CPU(包括服务器端、桌面端、移动端、工控和各类嵌入式场景等)的年出货量超过百亿颗,全球平均每人都能达到3颗CPU的消费量,基本可以认为CPU已经成为一个泛在的器件。建立在CPU上的软硬件生态,无论是x86还是ARM,也自然成为了整个算力系统的载体,CPU也责无旁贷地成为了这个体系中的主角。随着对图形图像处理的需求,在上世纪90年代出现了GPU,并逐渐发展到目前的GPGPU。随着深度学习算法的爆发,GPU找到了除图像处理之外的施展空间——神经网络模型的训练。直至目前,神经网络训练都是GPU占绝对统治

2022-06-29 15:16:33 394

原创 为什么很多人会觉得FPGA难学?

以上是个人的一些见解,但是没有涉及到具体市场行情、工资待遇,本人在去年年底到今年年初,从各个方向做过半年的市场调研,由于篇幅原因,这里就不给大家去说了,后续有时间再整理一下,相信各种选择FPGA的大侠们,应该都发现了FPGA现在确实很火,尤其近两年,一句话总结,市场行情、薪资待遇,无可挑剔,但是,前提是技术硬实力过关。对于FPGA的展望,这里放一张原来做报告的图吧,其他的就不过多说了。图片FPGA的学习其实就像学习围棋一样,学会如何在棋盘上落子很容易,成为一位高手却是难上加难。要真成为李昌镐那样

2022-06-28 17:59:09 4115 3

原创 为什么你觉得FPGA难学?如何入门?

学习FPGA,先理解对应语言的语法,这里仅对于 Verilog HDL 和 VHDL,对于系统级不做讨论。对于硬件描述语言的选择,大家不用纠结,如果没有个人或者特殊需求,本人建议先入手 Verilog HDL,Verilog HDL设计运用比较灵活,有点类似于C语言,但是在学习的时候不能按照C语言的顺序执行去思考,要转变思想。对于 VHDL,逻辑比较缜密,格式要求比较单一,还是比较容易死记硬背的,verilog比较灵活,先学 Verilog HDL 的好处就是,可以灵活变通,如果先接触 VHDL 的话,怕

2022-06-28 15:37:37 1479

原创 FPGA零基础学习:图像显示系统设计

利用摄像头捕获数据、SDRAM缓存数据、VGA协议驱动屏幕显示图像构成图像实时显示系统。摄像头捕获数据的速度(12MHz、6MHz、3MHz)与VGA协议驱动速度(25MHz)不同,导致摄像头捕获数据不能够直接输出给VGA,所以中间必须加入大容量的缓冲器。整个设计需要的时钟有:给摄像头提供24MHz的时钟,给SDR SDRAM提供的100MHz的时钟(相移270度),给SDR SDRAM控制器提供的100MHz的时钟,给VGA协议驱动提供的100MHz的时钟。时钟产生采用片内的PLL产生所需的

2022-06-28 10:16:14 967

原创 FPGA零基础学习:IIC协议驱动设计

IIC 即 Inter-Integrated Circuit(集成电路总线),这种总线类型是由飞利浦半导体公司在八十年代初设计出来的一种简单、双向、二线制、同步串行总线,主要是用来连接整体电路(ICS) ,IIC是一种多向控制总线,也就是说多个芯片可以连接到同一总线结构下,同时每个芯片都可以作为实时数据传输的控制源。这种方式简化了信号传输总线接口。I²C 总线是一种串行数据总线,只有二根信号线,一根是双向的数据线 SDA,另一根是时钟线 SCL,两条线可以挂多个设备。 IIC 设备(绝大多数)里有个固化

2022-06-28 10:06:49 1121

原创 FPGA零基础学习:VGA协议驱动设计

VGA(Video Graphics Array)视频图形阵列是 IBM 于1987年提出的一个使用模拟信号的电脑显示标准。VGA具有分辨率高、显示速率快、颜色丰富等优点。VGA 接口不但是CRT 显示设备的标准接口,同样也是 LCD 液晶显示设备的标准接口,具有广泛的应用范围。VGA接口即电脑采用VGA标准输出数据的专用接口。VGA接口是一种D型接口,上面共有15针孔,分成三排,每排五个。其中比较重要的是3根 RGB 彩色分量信号和2根扫描同步信号 HSYNC 和 VSYNC 针。其母头插座引脚编号图

2022-06-28 09:29:50 599

原创 FPGA零基础学习:UART协议驱动设计

通用异步收发传输器(Universal Asynchronous Receiver / Transmitter),通常称作UART,是一种异步收发传输器。它将要传输的资料在串行通信与并行通信之间加以转换。作为把并行输入信号转成串行输出信号的芯片,UART通常被集成于其他通讯接口的连接上。UART是一种通用串行数据总线,用于异步通信。该总线双向通信,可以实现全双工传输和接收。在嵌入式设计中,UART用于主机与辅助设备通信,如汽车音响与外接AP之间的通信,与PC机通信包括与监控调试器和其它器件。并行通信

2022-06-27 14:16:58 768

原创 FPGA零基础学习:IP CORE 之 FIFO设计

本篇实现基于叁芯智能科技的SANXIN -B01 FPGA开发板,以下为配套的教程,如有入手开发板,可以登录官方淘宝店购买,还有配套的学习视频。FIFO(first input first output或者first in first out),先入先出队列,是一种数字电路中常用的缓冲器,先进入的数据或者命令会先出来,后进入的数据或者命令会后出来,不改变数据的先后顺序。FIFO的工作方式就像超市购物结账时的通道,先进入的顾客先结账,然后出超市;当先进入的顾客没有结算完成时,或进入的顾客只能进行等待;进入

2022-06-27 14:08:51 393

原创 FPGA零基础学习:IP CORE 之 PLL设计

FPGA利用可配置逻辑单元完成电路功能,但是配置的电路功能只能完成数字逻辑功能,对于一些模拟电路功能无法实现,例:温度测量等。对于某些高速数据流的发送和接收也无法采用可配置逻辑单元进行收发。例:数据速率超过1GHz。对于常用一些缓存,可配置逻辑单元虽然可以实现,但是所使用的面积太大。例如:存储器。如果FPGA无法实现或者FPGA实现的缺点比较大时,厂家会将一部分常用的ASIC电路直接放入到FPGA内部,配置好接口后,可配置逻辑就可以与ASIC进行通信。对于一部分比较复杂的设计,厂家也会将设计结果封装

2022-06-25 16:12:43 1004 2

原创 FPGA零基础学习:数码管驱动设计

数码管共有八个段选信号,通过电阻直接与FPGA相连接;有六个供电端,分别三极管相连接,三极管的控制端由三八译码器的输出控制,三八译码器的输入是由FPGA控制输出。数码管也称LED数码管,不同行业人士对数码管的称呼不一样,其实都是同样的产品。数码管按段数可分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极管单元,也就是多一个小数点(DP)这个小数点可以更精确的表示数码管想要显示的内容;按能显示多少个(8)可分为1位、2位、3位、4位、5位、6位、7位等数码管。按发光二极管单元连接方

2022-06-25 15:58:12 1242

原创 FPGA零基础学习:LED流水灯设计

在学习软件设计时,第一个例程总是“hello world!”,那么学习硬件时,也会有硬件的“hello world”--流水灯。本篇硬件基于叁芯智能科技的 SANXIN-B01开发板,如有想要入手,可以在淘宝店下单。在FPGA开发板上有四个LED,我们要做的流水灯,顾名思义就是要LED像流水一样的点亮熄灭。直白点说就是,点亮第一个一段时间,然后熄灭第一个的同时,点亮第二个·····。在此,我们设置每一个LED点亮的时间为1秒钟。硬件介绍在我们的开发板上有四个LED,设计逻辑为:FPGA输出高电平时

2022-06-24 15:21:46 2837 1

原创 PGA零基础学习:FPGA在FPGA中何时用组合逻辑或时序逻辑

组合逻辑1越复杂延迟越大,而导致的结果就是clk的时钟速率只能降低,进而导致设计结果失败。当组合逻辑1无法进行优化时,还想要达到自己想要的速度时,我们可以进行逻辑拆分,增加数据的输出潜伏期,增加数据的运行速度。将组合逻辑1的功能拆分为组合逻辑A和组合逻辑B,此时,输入的数据得到结果虽然会多延迟一拍,但是数据的流速会变快。那么这个和选用组合逻辑和时序逻辑有什么关系呢?举例说明:目前要设计模块A,不涉及反馈,不涉及时序对齐等,可以采取组合逻辑设计也可以采用时序逻辑设计。模块A的输出连接到模块

2022-06-24 15:00:22 615

原创 FPGA零基础学习:基于FPGA的音乐蜂鸣器设计(附代码)

蜂鸣器是一种一体化结构的电子讯响器,采用直流电压供电,广泛应用于计算机、打印机、复印机、报警器、电子玩具、汽车电子设备、电话机、定时器等电子产品中作发声器件。

2022-06-23 15:07:30 2750

原创 FPGA零基础学习:半导体存储器和可编程逻辑器件简介

半导体存储器是一种能存储大量二值信息的半导体器件。在电子计算机以及其他一些数字系统的工作过程中,都需要对大量的数据进行存储。因此,存储器也就成为了数字系统不可缺少的组成部分。由...

2022-06-23 14:51:02 835

原创 FPGA零基础学习:数字电路中的时序逻辑

在各种复杂的数字电路中,不但需要对二值信号进行算数运算和逻辑运算,还经常需要将这些信号和运算结果保存起来。为此,需要使用具有记忆功能的基本逻辑单元。能够存储1位的二进制数码的单元电路称为触发器。为了实现记忆1位二值信号的功能,触发器必须具备以下两个基本特点:具有两个能自行保持的稳定状态,用来表示逻辑状态的0和1,或二进制数的0和1。在触发信号的操作下,根据不同的输入信号可以置成1或0状态。由于采用的电路结构形式不同,触发信号的触发方式也不一样。触发方式分为电平触发、脉冲触发、边沿触发三种。S

2022-06-22 16:41:47 999

原创 FPGA零基础学习:数字电路中的组合逻辑

根据逻辑功能的不同特点,可以将数字电路分为两大类,一类称为组合逻辑电路(简称组合电路),另一类称为时序逻辑电路(简称时序电路)。在组合逻辑电路中,任何时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关。这就是组合逻辑电路在逻辑功能上的共同特点。在上一节中,设计的三人表决器就是组合逻辑电路,输出与输入一一对应,和其他无关,输入发生改变,输出立刻跟着改变。组合逻辑的设计方法在逻辑代数基础中有一定的简单的叙述。根据现有的资源做出合理的假设(通过为1、还是为0,不同的硬件可能会是不同的结构)。根据

2022-06-22 16:20:04 559

基于kintexUltraScale XCKU060 的双路 QSFP+光纤PCIe 卡

板卡FPGA高速处理板卡介绍与原理框图(技术服务篇); 本板卡系我司自主研发,基于 Xilinx UltraScale Kintex 系列 FPGA XCKU060-FFVA1156-2-I 架构,支持 PCIE Gen3 x8 模式的高速信号处理板卡,搭配两路 40G QSFP+接口,两组 64-bit DDR4,每组容量 8Gbyte,可稳定运行在 2400MT/s。板卡具有自控上电顺序,BPI 模式快速程序加载,支持板内/板外两种系统时钟接入模式等特点,设计满足工业级要求。本卡可用于高速信号处理。

2023-02-25

基于 ZU19EG 的 4 路 100G网络DPU的PCIe加速计算卡

本板卡系我司自主设计研发,基于 Xilinx 公司 Zynq UltraScale+ MPSOC 系列 SOC XCZU19EG-FFVC1760 架构,支持 PCIE Gen3x16 模式。其中,ARM 端搭载一组 64-bit DDR4,总容量达 4GB,可稳定运行在 2400MT/s,PL 端支持两组 64-bit DDR4,每组容量均为 4GB,最高运行速率支持 2666MT/s;板卡具有自控上电顺序,支持多种启动模式,如 Nor Flash 启动,EMMC 启动,SD 卡启动等。板卡对外支持 1 路 USB3.0 接口、1 路千兆以太网接口、1 路 DP 输出接口、2 路调试串口(RS232)、2 路 Can 接口,另有 4 路 QSFP28 接口,支持 100G 数据传输速率。PL 端扩展 1 个标准FMC HPC 接口, 支持 8 路GTH 接口和LA/HA/HB 全定义接口。板卡设计满足工业级要求,可用于高速信号处理、车载雷 达信号处理等领域。

2023-02-25

基于FPGA技术图像与信号处理精华篇汇总

基于FPGA技术图像与信号处理精华篇汇总 77页,十几篇技术精品文章

2022-11-28

FPGA与COFDM无线图传和自组网技术资料分享

FPGA与COFDM无线图传和自组网技术资料分享

2022-11-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除