自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(44)
  • 资源 (2)
  • 收藏
  • 关注

原创 我的大学之操作系统(五)

实验五【实验题目】:虚拟内存页面置换算法【实验目的】通过这次实验,加深对虚拟内存页面置换概念的理解,进一步掌握先进先出FIFO,最佳置换OPI和最近最久未使用LRU页面置换算法的实现方法。【实验内容及要求】算法思路:FIFO:FIFO 策略把分配给进程的页框视为一个循环缓冲区,按循环方式移动页。它所需的只是一个指针,这个指针在该进程的页框中循环。因此这是一种最简单的页面置换策略。除了它的简单性,这种选择方法所隐含的逻辑是置换驻留在内存中最长时间的页:一个很久以前取入内存的页,到现在可能已经不会再

2021-01-10 19:48:37 461

原创 我的大学之操作系统(六)

实验六【实验题目】:磁盘调度算法【实验目的】通过这次实验,加深对磁盘调度算法的理解,进一步掌握先来先服务FCFS,最短寻道时间优先SSTF,SCAN和循环SCAN算法的实现方法。【实验内容及要求】算法思路:FCFS:算法思想非常简单,就是不论初始磁头在什么位置,都是按照服务队列的先后顺序依次处理进程,可以类比队列的先进先出。优点是进程处理起来非常简单,但缺点显而易见,就是平均寻道长度会很长。SSTF:最短寻道时间算法,算法本质是贪心,已知磁头的初始位置,则最先被处理就是距离磁头位置最近的进程,

2021-01-10 19:48:25 422 1

原创 物联网工程实践

一、实验名称基于开源路由操作系统Openwrt的openvpn服务器搭建二、实验简介该实验采用开源路由操作系统openwrt实现VPN服务,实验要求在vmware中安装openwrt,然后安装Openvpn服务。三、实现步骤及成果截图:修改配置:网络适配器:VMnet设置:尝试ping百度:安装openvpn:1.准备工作,安装必要的软件:2.创建证书:a.第一步. PKI目录b. openssl配置文件c. 创建服务端与客户端的文件服务端文件

2021-01-10 19:48:16 857

原创 我的大学之操作系统(四)

实验四【实验题目】:预防进程死锁的银行家算法【实验目的】通过这次实验,加深对进程死锁的理解,进一步掌握进程资源的分配、死锁的检测和安全序列的生成方法。【实验内容及要求】算法思路:银行家算法(Bankers Algorithm)是一个避免死锁(Deadlock)的著名算法,由艾兹格·迪杰斯特拉在1965年为T.H.E系统设计的一种避免死锁产生的算法。它以银行借贷系统的分配策略为基础,判断并保证系统的安全运行。我们可以把操作系统看作是银行家,操作系统管理的资源相当于银行家管理的资金,进程向操作系统请

2021-01-08 10:33:42 630

原创 我的大学之操作系统(三)

实验三【实验题目】:高响应比优先调度和时间片轮转RR进程调度算法【实验目的】通过这次实验,加深对进程调度概念的理解,进一步掌握比FCFS和SJF更为复杂的进程调度算法的实现方法。【实验内容及要求】算法思路:时间片轮转RR进程调度算法:用于分时系统中的进程调度。每次调度时,总是选择就绪队列的队首进程,让其在CPU上运行一个系统预先设置好的时间片。一个时间片内没有完成运行的进程,返回到绪队列末尾重新排队,等待下一次调度。给每个进程固定的执行时间,根据进程到达的先后顺序让进程在单位时间片内执行,执行

2021-01-08 10:33:23 478

原创 我的大学之操作系统(二)

实验二【实验题目】:进程同步【实验目的】通过这次实验,加深对进程同步概念的理解,进一步掌握进程同步机制、进程同步算法和进程同步的评价。【实验内容及要求】算法思路:生产者消费者问题,也称有限缓冲问题,是一个多线程同步问题的经典案例。该问题描述了共享固定大小缓冲区的两个线程——即所谓的“生产者”和“消费者”——在实际运行时会发生的问题。生产者的主要作用是生成一定量的数据放到缓冲区中,然后重复此过程。与此同时,消费者也在缓冲区消耗这些数据。该问题的关键就是要保证生产者不会在缓冲区满时加入数据,消费者也

2021-01-08 10:33:12 484

原创 我的大学之操作系统(一)

实验一【实验题目】:先来先服务FCFS和短作业优先SJF进程调度算法【实验目的】通过这次实验,加深对进程概念的理解,进一步掌握进程状态的转变、进程调度的策略及对系统性能的评价方法。【实验内容及要求】算法思路:先来先服务FCFS: 当在作业中采用该算法时,系统将按照作业到达的先后次序来进行调度。每次调度是从就绪的进程队列中选择一个最先进入该队列的进程,为之分配处理机,使之投入运行。该进程一直运行到完成或发生某事件而阻塞后,进程调度程序才将处理机分配给其他进程。有利于长作业(进程)而不利于短作业(

2021-01-08 10:33:04 221

原创 我的大学之模拟电子技术 No.6

实验六 直流稳压电源一、实验目的了解整流电路的工作原理及电容滤波电路的作用;学习直流稳压电源主要技术指标的测试方法;学习集成稳压器的使用方法;二、实验原理整流、滤波电路的作用是利用二极管的单向导电性能,把交流电变换成直流电压或者电流。变压器担负把220V交流市电变换成所需交流电压值的任务,半导体二极管则担负整流的任务。经过整流后,负载上得到的是单向电压。滤波电路主要是利用电感和电容的贮能作用,使输出电压及电流的脉动趋于平滑。三、实验步骤1.整流电路测试用示波器观察桥式整流电路后的输出电压

2021-01-08 10:32:54 279

原创 我的大学之模拟电子技术 No.5

实验五 集成运算放的基本应用一、实验目的熟悉集成运算放大器主要参数的定义及表示方法。掌握集成运算放大器的正确使用方法。研究由集成运算放大器组成的比例、加法、减法等基本运算电路的功能。二、实验原理集成运算放大器是一种具有高电压放大倍数的直接耦合多级放大器。若在它的输出端和输入端之间加上反馈网络,则可实现不同的电路功能。例如,加入线性负反馈,可以实现放大功能以及加、减、微分、积分等模拟运算功能;加入非线性负反馈,可以实现对数、反对数、乘、除等模拟运算功能。为了分析方便,通常把运算放大器视为理想器件

2021-01-08 10:32:46 945

原创 我的大学之模拟电子技术 No.4

实验四 负反馈放大电路一、实验目的加深理解负反馈放大电路的工作原理及负反馈对放大电路性能的影响掌握负反馈放大电路性能的测量与调试方法进一步掌握多级放大电路静态工作点的调试方法二、实验原理负反馈在电子电路中有着广泛的应用。虽然它使放大器的放大倍数降低,但能在多方面改善放大器的动态参数,如稳定放大倍数,改变输入、输出电阻,减小非线性失真和展宽通频带等。因此,几乎所有的实用放大器都带有负反馈。负反馈放大器有四种组态,即电压串联,电压并联,电流串联,电流并联。本实验以电压串联负反馈为例,分析负反馈对放

2021-01-08 10:32:13 1271

原创 我的大学之模拟电子技术 No.3

实验三 射极输出器一、实验目的掌握射极输出器的电路特点进一步学习放大器各项参数测量方法了解射极输出器的应用二、实验原理射级输出器的电路输出信号不是从三极管的集电极取出,而是取自发射级和地之间。对于交流信号,集电极成为输入信号和输出信号的公共端,故该电路实际上是一个共集电极电路。共集电极电路的输入电阻大,输出电阻小,所以常用来实现阻抗的转换。输入电阻大,可使流过信号源的电流减小;输出电阻小,即带负载能力强;故常用于多级放大电路的输入级和输出级。图3-1 射级输出器实验电路图三、实验内容与步骤

2021-01-08 10:32:05 595

原创 我的大学之模拟电子技术 No.2

实验二 三极管基本放大电路一、实验目的学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。掌握放大器电压放大倍数、及最大不失真输出电压的测试方法。熟悉常用电子仪器及模拟电路实验设备的使用。二、实验原理共射放大电路既有电流放大,又有电压放大,故常用于小信号的放大。改变电路的静态工作点,可调节电路的电压放大倍数。而电路工作点的调整,主要是通过改变电路参数来实现,负载电阻RL的变化不影响电路的静态工作点,只改变电路的电压放大倍数。该电路输入电阻居中,输出电阻高,适用于多级放大电路的中间级

2021-01-08 10:31:49 2009 1

原创 我的大学之模拟电子技术 No.1

实验一 晶体管的检测和模拟环境的使用一、实验目的熟悉Multisim10软件的使用方法。学习和掌握使用万用表测量晶体二极管和三极管的方法。通过万用表测量二极管的正反向电阻,对二极管PN结极性、晶体材料作出判断。通过万用表测量,学会区分普通二极管和稳压管。测量三极管,标出BCE极,判断三极管的材料,并测量穿透电流的大小。二、实验步骤1、启动multisim如图所示2、点击元器件栏上“放置基础元器件”按钮,弹出如下所示的“选择元件”对话框选中RESISTOR(电阻),此时在右边列表中选中1

2021-01-08 10:31:38 336

原创 我的大学之Android系统应用层软件设计系列NO.7

视频播放器(实现简单的视频播放器)一、实验目的1.综合应用课程知识。2.掌握App开发流程及相关工具。3.设计一个基于Android平台的简单视频播放器。二、实验环境1.jdk1.8.0_151以上2.Android Studio3.3以上3.Android 8.0(API level 26)4.墨刀原型设计工具5.WhiteStarUML三、项目分析1.需求分析软件功能简介(1) 能够播放3gp, .mp4等文件;(2) 能正常启动,退出;(3) 能够在手机上使用该系统;

2021-01-07 17:07:26 290

原创 我的大学之Android系统应用层软件设计系列NO.6

网络应用一、实验目的1、掌握Retrofi+RxAndroid网络访问SDK方法2、掌握简单网络API使用方法3、Android网络应用,都必须在配置文件中配置相应的权限4、简单使用MPAndroidChar SDK方法二、实验环境1.jdk1.8.0_151以上2.Android Studio3.3以上3.Android 8.0(API level 26)4.墨刀原型设计工具5.WhiteStarUML三、项目分析1.需求分析设计一个基于百度地图的API的简单APP。2.所采

2021-01-07 17:04:06 245

原创 我的大学之计算机网络 No.8

实验八本机:3-E实验基础:掌握路由协议的分类,理解静态路由和动态路由掌握动态路由协议RIP的报文格式、工作原理及工作过程掌握RIP计时器的作用理解RIP的稳定性实验环境:该实验采用网络结构三实验原理:内容摘要:一. 静态路由与动态路由二. 内部和外部路由选择三. 距离向量路由初始化共享更新什么时候共享四. RIP协议简介五. RIP报文格式六. RIP运行过程七. RIP的缺点及改进RIP协议的缺点RIP协议的改进八. RIP的限制实验步骤:练习

2021-01-07 16:54:37 1075

原创 我的大学之计算机网络 No.7

实验七 超文本传输协议(HTTP)本机为3组E实验环境:实验目的:掌握HTTP的报文格式掌握HTTP的工作原理掌握HTTP常用方法实验原理:一. 体系结构1. 客户(浏览器)2. 服务器3. 统一资源定位符(URL)二. 万维网文档1. 静态文档2. 动态文档3. 活动文档三. HTTP协议简介四. HTTP报文格式五. HTTP方法六. HTTP状态码七. 持久与非持久连接1. 非持久连接2. 持久连接八. HTTP代理服务器实验步骤:

2021-01-07 16:49:06 1921 1

原创 我的大学之计算机网络 No.6

实验六实验6.1 动态主机配置协议(DHCP)本机:3-E实验目的:掌握DHCP的报文格式掌握DHCP的工作原理实验原理:一. DHCP简介二. DHCP报文格式三. 静态地址分配与动态地址分配静态地址分配动态地址分配四. 状态转换转换状态初始化状态选择状态请求状态绑定状态更新状态重新绑定状态五. DHCP运行过程实验步骤:练习1(使用DHCP获取IP地址):各主机打开协议分析器,进入相应的网络结构并验证网络拓扑的正确性,如果通过拓扑验证,关闭协议分

2021-01-07 16:42:16 2217 1

原创 我的大学之计算机网络 No.5

实验五本机:3-E实验环境:拓扑结构一实验目的:掌握TCP协议的报文格式掌握TCP连接的建立和释放过程掌握TCP数据传输中编号与确认的过程掌握TCP协议校验和的计算方法理解TCP重传机制实验原理:一. TCP协议简介二. TCP报文格式三. TCP封装四. TCP校验和五. TCP连接建立与释放连接建立三次握手连接终止三次握手方式终止连接半关闭的四次握手方式终止连接六. 流量控制滑动窗口协议七. 差错控制校验和确认重传实验步骤:练习1(察看TCP连接

2021-01-07 11:54:06 3782 1

原创 我的大学之计算机网络 No.4

实验四实验4.1本机:3-E实验目的:掌握IGMP协议的报文格式掌握IGMP协议的工作原理理解多播组地址到以太网地址的映射实验环境:实验原理:一. 单播、多播与广播1. 单播2. 多播3. 广播二. 组播的地址1. 多播组地址到以太网地址的转换三. IGMP协议简介四. IGMP报文格式1. IGMPv2报文的格式2. IGMPv3查询报文的格式3. IGMPv3成员关系报告报文的格式4. IGMPv3成员关系报告报文中的组记录五. IGMP封

2021-01-07 11:48:12 1612

原创 我的大学之计算机网络 No.3

实验三练习1 编辑并发送IP数据报:各主机打开协议分析器,进入相应的网络结构并验证网络拓扑的正确性,如果通过拓扑验证,关闭协议分析器继续进行实验,如果没有通过拓扑验证,请检查网络连接。本练习将主机A、B、C、D、E、F作为一组进行实验。主机B在命令行方式下输入staticroute_config命令,开启静态路由服务。主机A启动协议编辑器,编辑一个IP数据报,其中:MAC层:目的MAC地址:主机B的MAC地址(对应于172.16.1.1接口的MAC)。源MAC地址:主机A的MAC地址

2021-01-07 11:32:19 3036

原创 我的大学之计算机网络 No.2

实验二本机:3-E实验目的:了解地址解析协议与Internet控制报文协议。实验设备:windows系统。实验原理(拓扑结构):实验内容:实验2。1 地址解析协议(ARP):练习1:各主机打开协议分析器,进入相应的网络结构并验证网络拓扑的正确性,如果通过拓扑验证,关闭协议分析器继续进行实验,如果没有通过拓扑验证,请检查网络连接。本练习将主机A、B、C、D、E、F作为一组进行实验。主机A、B、C、D、E、F启动协议分析器,打开捕获窗口进行数据捕获并设置过滤条件(提取ARP、ICMP)

2021-01-07 11:25:10 3159

原创 我的大学之计算机网络 No.1

实验1本机为3组E练习1.主机B、D、E、F上停止捕获数据,在捕获的数据中查找主机A所发送的ICMP数据帧,并分析该帧内容。主机C截获的数据如下:● 记录实验结果本机主机E无法截获,数据如下(截图)练习2:1.本机E发送已编辑好的数据帧:AAABBBCCCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA2.接收的数据帧:(截图)3.主机A、B、C、D、F停止捕获数据,察看捕获到的数据中是否含有主机E所发送的数据帧。均有收到● 结合练习三的实验结果,简述FF

2021-01-07 11:17:04 2007

原创 我的大学之计算机组成原理系列NO.9

课题:单周期CPU设计一、实验目的1.掌握单周期CPU数据通路图的构成、原理及其设计方法。2.掌握单周期CPU的实现方法,代码实现方法。3.认识和掌握指令与CPU的关系。4.掌握测试单周期CPU的方法。二、实验设备1.装有ISE Design Suite 14.7的计算机一台。三、实验任务设计一个单周期CPU,该CPU至少能实现以下指令功能操作。需设计的指令与格式如下(reserved为预留部分即未用,一般填充“0”):1)算术运算指令(1)指令:add rd,rs,rt功能:rd

2021-01-04 20:43:51 601

原创 我的大学之计算机组成原理系列NO.8

课题:随机存储器设计一、实验目的1.学会使用 CoreGenerator 来产生RAM。2.了解FPGA 基本存储资源,并学会应用。3.理解随机存储器的基本原理及其特性。二、实验设备1.装有ISE Design Suite 14.7的计算机一台。2.EDK-3 SA ISE实验平台。三、实验任务1.构建简单随机存储器系统。2.采用实验板验证随机存储器功能及其特征。四、实验原理实验原理下图为随机存储器实验原理图总体包括数据缓冲器GB,计数器ADR,存储器MN2114,写寄存器R1

2021-01-04 20:31:45 194

原创 我的大学之计算机组成原理系列NO.7

课题:控制器设计与验证一、实验目的1.理解微程序控制器的设计过程,掌握其设计方法;2.了解运算部件的基本结构和数据传输过程,并掌握其设计和调试方法。二、实验设备1.装有ISE Design Suite 14.7的计算机一台2.EDK-3 SA ISE实验平台三、实验任务1.实现微程序控制器2.编写测试代码3.通过实验板操作验证控制器设计的正确性四、实验原理实验原理微程序控制方式的计算机控制器具有规整性、灵活性、功能的可扩充性、易改性等优点。因此愈来愈广泛地被用于计算机及其它数字设

2021-01-04 20:23:25 168

原创 我的大学之计算机组成原理系列NO.6

课题:RType ALU设计与验证一、实验目的1.掌握将组件按需要组合起来,以R类型指令实验为例,实现指令处理器功能2.掌握寄存器组、ALU单元的工作原理和作用以及组件的组合方法3.修改本实验中的指令,实现更多的功能二、实验设备1.装有ISE Design Suite 14.7的计算机一台2.EDK-3 SA ISE实验平台三、实验任务1.对RType ALU模块进行仿真,验证功能的正确性2.分析RType ALU功能,修改测试代码,计算St3&Sk0St5+Sgp根据仿真

2021-01-04 20:10:45 279

原创 我的大学之计算机组成原理系列NO.5

课题:ALU设计与验证一、实验目的1.熟悉 74LS181 ALU 的功能和使用方法。2.了解运算部件的基本结构和数据传输过程,并掌握其设计和调试方法。二、实验设备1.装有ISE Design Suite 14.7的计算机一台2.EDK-3 SA ISE实验平台三、实验任务1.对ALU模块进行仿真,验证功能的正确性2.分析ALU功能,实现功能测试四、实验原理在图中,ALU 的数据输入,输出及对数据的处理都采用并行方式,ALU 的 B 输入端数据来自开关输入寄存器 B、A 输入端数据来

2021-01-04 19:53:57 560

原创 我的大学之网络工程实践(一)

一、实验任务甲方医院为厦门市岛内某三甲医院,现新建一住院部,住院部楼层为20层,每层估计能容纳病员100人,工作人员30人,暂不考虑无线功能。数据中心机房位于第12楼,1、2楼为大厅。甲方要求:1、所有病员的床铺都要接有线网络2、所有网络链路必须要能够防环3、所有设备的命名都带上楼层号4、需要做相应的安全措施,对不同的流量进行控制5、该医院住院部所有上Internet的流量从电信线路出去;其中,1-10层走电信线路1出外网,11-20层走电信线路2去往外网6、该医院住院部核心层与另一栋楼门诊

2021-01-04 17:55:03 765

原创 我的大学之计算机组成原理系列NO.4

课题:总线传递实验一、实验目的1.完成数据在总线上的传递并理解其运行过程2.掌握采用总线结构进行信息传递的方法二、实验设备1.装有 ISE Design Suite 14.7 的计算机一台2.EDK-3 SA ISE 实验平台三、实验任务1.实现总线传递控制模块设计2.验证数据在总线上的传递过程四、实验原理各部件之间信息的传递功能:(1) I—>O,P(2) ...

2019-10-09 00:04:48 426

原创 我的大学之计算机组成原理系列NO.3

课题:Datapath基本逻辑组件设计一、实验目的1.掌握程序计数器(PC)、多路选择器、符号扩展模块及简单加法器的原理及原理2. ALU基本模块的设计与实现3. 基于Xilinx ISE软件平台的逻辑组件仿真验证方法二、实验设备1.装有 ISE Design Suite 14.7 的计算机一台2.EDK-3 SA ISE 实验平台三、实验任务1.设计并验证程序计数器(PC)的功...

2019-09-22 17:09:32 499

原创 我的大学之计算机组成原理系列NO.2

课题:输入输出一、实验思路1.熟悉VerilogHDL语言的编程和调试方法2. 熟悉 ISE Design Suite 14.7 软件平台的基本操作,使用该平台进行逻辑部件的输入、编辑和调试3. 集合Sptran-3开发板进行布线,对开发板进行编程与操作4. 掌握Sptran-3开发板的基本I/O应用二、实验设备1.ISE Design Suite 14.72.EDK-3 SA ...

2019-09-08 20:45:10 660 3

原创 我的大学之数据结构NO.6

图的遍历一、需求分析1.以邻接表为存储结构,实现连通无向图的深度优先和广度优先遍历。2.概要设计:先创建边表节点结构,顶点表节点结构,图结构;之后遍历图,返回下标;创建并打印邻接表;深度优先搜索与广度优先搜索的实现。二、部分代码1.声明bool DFSvisited[50]; //用于深搜的标记数组bool BFSvisited[50]; //用于广搜的标记数组2.边表节点...

2019-09-06 11:18:36 185

原创 我的大学之数据结构NO.5

哈夫曼编码一、需求分析从终端读入字符集大小n,依次输入n个字符和相应的权值,建立哈夫曼树。输入一串电文,程序显示出电文翻译的比特流,在输入一串比特流,程序显示比特流翻译的电文。二、部分代码1.创建结构体typedef struct{ char data; int weight; int parent; int lchild; int rchild;}HTElemType;...

2019-09-05 21:31:12 203

原创 我的大学之数据结构NO.4

二叉树遍历一、需求分析分别根据先序、中序、后序、层次遍历二叉树。二、部分代码1.创建二叉树(递归思想) typedef struct BiTNode{ char data; bool isFirst; BiTNode *lchild, *rchild; }BiTNode, *BiTree; BiTree Creat...

2019-09-05 16:32:39 117

原创 我的大学之数据结构NO.3

表达式求值一、需求分析以字符序列的形式从终端输入语法正确的、不含变量的整数表达式。利用教科书表3.1给出的算符优先关系,实现对算术四则混合运算表达式的求值,并仿照教科书的例3-1演示在求值中运算符栈、运算数栈、输入字符和主要操作的变化过程。二、部分代码1.定义优先级char Precede(char t1, char t2) { char opt[8][8]={ ">&...

2019-09-04 11:22:37 596

原创 我的大学之数据结构NO.2

约瑟夫环一、需求分析(1)实现功能:利用单向循环链表存储结构模拟此过程,按照出列顺序输出每人的编号。(2)测试数据:初始密码m=20;人数n=7。这7个人的密码依次为:3,1,7,2,4,8,4。这组数据的正确出列顺序应该是6,1,4,7,2,3,5。(3)基本操作:程序运行后,首先要求用户指定初始报数上限值,然后读取各人的密码。可设n≤30。二、部分代码1.创建链表struct N...

2019-09-04 11:11:04 163

原创 我的大学之数据结构NO.1

顺序表基本操作以及有序表的合并一、需求分析1.实现顺序表的各种基本操作,包括创建顺序表、插入和删除指定序号的元素、读取表元、获取最大和最小值元素、查找元素、表元素的排序、表元素逆置、顺序表的输入和输出。2.实现两个有序顺序表的合并。问题描述:创建两个有序的顺序表L1和L2,表中元素值由键盘随机输入,再将它们合并为一个新的顺序表L3,合并后L3仍然有序(重复元素只保留一个),最后输出顺序表中...

2019-09-03 16:58:42 323

原创 我的大学之计算机组成原理系列NO.1

课题:流水灯一、实验思路1.熟悉Verilog HDL语言的编程和调试方法2.熟悉ISE Design Suite 14.7软件平台的基本操作,使用该平台进行逻辑部件的输入、编辑和调试3.集合Sptran-3开发板进行布线,对开发板进行编程与操作掌握Sptran-3开发板的基本I/O应用二、实验设备1.ISE Design Suite 14.72.EDK-3 SA ISE实验平台...

2019-09-03 16:34:24 422

原创 我的大学之Android系统应用层软件设计系列NO.5

传感器应用一、实验思路1、学习SensorManager使用方法2、了解常用传感器数据获取方法3、尝试曲线绘制方法二、实验环境1.jdk1.8.0_2012.Android Studio3.3以上3.Android 8.0(API level 26)三、项目分析1.需求分析:选取磁场传感器、方向传感器、压力传感器、陀螺仪、湿度传感器、光线传感器或其它手机携带的传感器作为实验对象...

2019-09-01 14:50:30 313

配置简易医院内部网络(含运营商)

1、所有病员的床铺都要接有线网络 2、所有网络链路必须要能够防环 3、所有设备的命名都带上楼层号 4、需要做相应的安全措施,对不同的流量进行控制 5、该医院住院部所有上Internet的流量从电信线路出去;其中,1~10层走电信线路1出外网,11~20层走电信线路2去往外网 6、该医院住院部核心层与另一栋楼门诊部核心层之间使用动态路由协议进行连通 7、门诊部所有上Internet的流量从连通线路出去 8、运营商内部使用动态路由协议

2021-01-04

计算机组成原理源代码(程序计数器PC、多路选择器、符号位拓展、简单加法器).zip

此源代码为实验课所授代码,仅以分享的心态上传,与大家一同学习。

2019-09-22

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除