AXI_lite代码简解(二)-AXI-Lite 源码分析

在这里插入图片描述

AXI-Lite 源码分析

  对于使用AXI总线,最开始肯定要了解顶层接口定义,这样才能针对顶层接口进行调用和例化,打开axi_lite_v1_0.v文件,第一段就是顶层的接口定义:

代码4 1 axi_lite接口定义

1.	    // Ports of Axi Slave Bus Interface S00_AXI  
2.	input wire  s00_axi_aclk,  
3.	input wire  s00_axi_aresetn,  
4.	input wire [C_S00_AXI_ADDR_WIDTH-1 : 0] s00_axi_awaddr,  
5.	input wire [2 : 0] s00_axi_awprot,  
6.	input wire  s00_axi_awvalid,  
7.	output wire  s00_axi_awready,  
8.	input wire [C_S00_AXI_DATA_WIDTH-1 : 0] s00_axi_wdata,  
9.	input wire [(C_S00_AXI_DATA_WIDTH/8)-1 : 0] s00_axi_wstrb,  
10.	input wire  s00_axi_wvalid,  
11.	output wire  s00_axi_wready,  
12.	output wire [1 : 0]
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

OpenFPGA

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值