System Generator从入门到放弃(六)-利用Vivado HLS block实现VivadoHLS调用C/C++代码

System Generator从入门到放弃(六)-利用Vivado HLS block实现Vivado HLS调用C/C++代码

夜未央,流星落,情已殇

文章目录

  • System Generator从入门到放弃(六)-利用Vivado HLS block实现Vivado HLS调用C/C++代码

  • 一、利用Vivado HLS block实现Vivado HLS调用C/C++代码

  • 1、简介

  • 2、利用Vivado HLS block实现Vivado HLS调用C/C++代码 流程

    • 2.1 Vivado HLS完成中值滤波设计

    • 2.2 将HLS设计导入System Generator

    • 2.3 仿真测试

  • 3、Vivado HLS block详解


  System Generator是Xilinx公司进行数字信号处理开发的一种设计工具,它通过将Xilinx开发的一些模块嵌入到Simulink的库中,可以在Simulink中进行定点仿真,可以设置定点信号的类型,这样就可以比较定点仿真与浮点仿真的区别。并且可以生成HDL文件,或者网表,可以在ISE中进行调用。或者直接生成比特流下载文件。能够加快DSP系统的开发进度。


一、利用Vivado HLS block实现Vivado HLS调用C/C++代码


1、简介

  Vivado HLS是Xilinx FPGA开发套件中的一款软件,可以使用C/C++语言进行设计,并转换为RTL级模型。System Generator中的Vivado HLS block可以将HLS开发软件设计的C/C++代码整合到Simulink环境中,利用Simulink强大的仿真特性对设计进行仿真测试。
  ug948中提供的官方例程为图像的中值滤波,该设计将一副256*256大小的RGB图像,添加噪声后提取出其中的Y通道,使用C++语言完成中值滤波。该设计将在Simulink环境下进行仿真。
  本次设计的流程是利用Vivado HLS建立C/C++代码,Export RTL–>System Generator–>Vivado。


2、利用Vivado HLS block实现Vivado HLS调用C/C++代码 流程

2.1 Vivado HLS完成中值滤波设计

  建立相关HLS中的程序,其中Source中建立MedianFilter.cpp程序,程序如下:
PS:这部分例程在官方ug948-design-files.zipLab2中找到。


MedianFilter.cpp

#include "MedianFilter.h"
#define WINDOW_SIZE  3
typedef unsigned char PixelType;

#define PIX_SWAP(a,b) { PixelType temp=(a);(a)=(b);(b)=temp; }
#define PIX_SORT(a,b) { if ((a)>(b)) PIX_SWAP((a),(b)); }

PixelType OptMedian9(PixelType * p)
{
	PIX_SORT(p[1], p[2]) ; PIX_SORT(p[4], p[5]) ; PIX_SORT(p[7], p[8]) ;
	PIX_SORT(p[0], p[1]) ; PIX_SORT(p[3], p[4]) ; PIX_SORT(p[6], p[7]) ;
	PIX_SORT(p[1], p[2]) ; PIX_SORT(p[4], p[5]) ; PIX_SORT(p[7], p[8]) ;
	PIX_SORT(p[0], p[3]) ; PIX_SORT(p[5], p[8]) ; PIX_SORT(p[4], p[7]) ;
	PIX_SORT(p[3], p[6]) ; PIX_SORT(p[1], p[4]) ; PIX_SORT(p[2], p[5]) ;
	PIX_SORT(p[4], p[7]) ; PIX_SORT(p[4], p[2]) ; PIX_SORT(p[6], p[4]) ;
	PIX_SORT(p[4], p[2]) ; 
    return(p[4]) ;
}

PixelType Mean(PixelType* buffer)
{
    PixelType i, j, min;
    unsigned int sum;
    for (i = 0;i<9; i++) {
    	sum+=buffer[i];
    }
    sum/=(WINDOW_SIZE*WINDOW_SIZE);
    return sum;
}

PixelType Min(PixelType* buffer)
{
    PixelType i, j, min;
    min = buffer[0];
    for (i = 1;i<9; i++) {
        if (min>buffer[i]) min = buffer[i];
    }
    return min;
}



void MedianFilter(PixelType row1, PixelType row2, PixelType row3, PixelType* V)
{
#pragma AP PIPELINE  II=1
	/*
	 * Create a local Pixel Buffer based on WindowSize
	 */
	static PixelType pixelWindowBuffer[WINDOW_SIZE*WINDOW_SIZE];

	PixelType sortBuffer[WINDOW_SIZE*WINDOW_SIZE];

    /*
    * Each Iteration Interval Update the Pixel Buffers
    */
	for(int i = 0;i<WINDOW_SIZE;++i) {
		for(int j=0;j<(WINDOW_SIZE-1);++j) {
			pixelWindowBuffer[WINDOW_SIZE*i + (WINDOW_SIZE-j-1)] = pixelWindowBuffer[WINDOW_SIZE*i + (WINDOW_SIZE-j-1)-1];
		}
	}

    /*
     * Update the first Pixel of each row
     */
    pixelWindowBuffer[0] = row1;
    pixelWindowBuffer[3] = row2;
    pixelWindowBuffer[6] = row3;

    for(int k = 0;k<9;++k) {
    	sortBuffer[k] = pixelWindowBuffer[k];
    }

    *V = OptMedian9(sortBuffer);
}

MedianFilter.h

#ifndef __MEDIAN__FILTER__
#define __MEDIAN__FILTER__
void MedianFilter(unsigned char R, unsigned char G, unsigned char B, unsigned char* V);
#endif

  右键Solution->C Synthesis->Active Solution,综合设计源文件。

a1765da4b8c9c8f798ce0f5c2ed3cce6.png
  当综合完成时, Vivado HLS displays 将显示this message:

  • Finished C synthesis.

  完成后,再右键Solution->Export RTL,Format Selection选择为“System Generator for DSP”,点击OK将设计源文件打包为System Generator可以使用的形式。
552e634ec61f3eb59f96b71e3e225ffc.png

当Export RTL完成时, Vivado HLS displays 将显示:

  • Finished export RTL

2.2 将HLS设计导入System Generator


Xilinx block

  • Vivado HLS block(->Index):调用HLS代码

  • System Generator(->Basic Elements):系统管理

  • Gateway In(->Basic Elements):数据输入

  • Gateway Out(->Basic Elements):数据输出

其它block

  • 图像处理相关Block

  • Scope(Simulink->Commonly Used Blocks):示波器


  添加一个Vivado HLS block,双击打开该block:
47deece44b90549b01e0e0f268c57c13.png

  点击Browse,将路径指定到HLS工程的Solution文件夹下。如果路径选择错误,或者HLS工程综合或导出失败导致solution文件夹下没有可用的System Generator文件,软件会提示错误。
16b0913a2671244957143e37e27ccc07.png

  设置完毕后,block端口也会随之改变,部分端口名称以ap_开头,表示与block之间的ap_hs通信协议。model的整体连接图如下:
8a2e4f40667c4bd4aac667baa9c2b2a2.png

  其中包含几个子系统,各子系统及其作用如下:

  • Noisy Image:导入一副256*256的图像,转换为一维数据,并为其添加椒盐噪声;

  • RGB2Y:将R、G、B通道转换为Y通道(Y=0.299R+0.587G+0.114B,可以简化为只用两个乘法器的形式Y=0.299(R-G)+0.114*(B-G)+G);

  • LineBuffer:缓存器存储数据;

  • Noisy Input Image/Filtered Image:缓存数据,将一维数据转换为二维图像显示。

2.3 仿真测试

  在Noisy Image子系统下,打开Image From File这个block(属于Computer Vision System Toolbox库)。点击Browse,将路径指向一副256256大小的彩色图片。将仿真时间设置为256256*2,确保可以完成一次图像滤波。运行结果如下:
22ef4b84c68a909fd6a87c0ccc793fdb.png
  Use the toolbar button Up 8d4ffe5a3510ae47e02c54b6e127ca5d.png to Parent to return to the top level
  保存后,进行仿真,结果如下:
PS:运行时间比较久,要耐心等待!!
f2d7cd4bd762ecfd3a78a2a95eacf3b8.png
  可以看到经过中值滤波后,很好地滤除了图像中的椒盐噪声。


3、Vivado HLS block详解

摘自:https://blog.csdn.net/FPGADesigner/article/details/80985418
  该block支持C、C++和System C三种设计源文件。使用是首先需要在Vivado HLS开发环境下将设计源文件打包到Solution目录下,然后在block中指向该目录,将设计导入到System Generator设计中。
f6dbeb6eac234cf7feab8f9d4e8240fd.png
  点击“Edit”可以打开与该solution对应的Vivado HLS工程,允许设计者修改;修改后点击“Refresh”更新block的端口信息。

  block默认采用RTL-model进行仿真,如果HLS中包含C仿真模型,可以选中“Use C simulation model if available”,选择C仿真模型。

  当选中“Display signal types”时,输入和输出的数据类型会显示在图标上,设计者可以根据该提示设置向此block输入的数据,如下图:
3b54f6b1e6e7a574e1982b43be12e9a6.png

  C/C++数据类型和System Generator数据类型之间的转换关系如下表:

d5e22ccf16178be66e2d5385d9c2726f.png
  在Vivado HLS中进行设计时有如下限制:

  • 设计不能是纯组合逻辑,综合到RTL设计后必须包含一个时钟和时钟使能输入信号;

  • 顶层模块中不能包含C/C++模板语法;

  • C仿真模型与block通信时支持ap_hs通信协议,但不支持ap_memory和ap_bus接口。


2e40fd939cd7aacbbf66301ee5a826bb.png

a42a3957fe2ed2c6114781d6933e6ac0.gif

推荐阅读

09c5e1db2783e68b5e437ea5ca41a829.png

一天一个设计实例-Xilinx FPGA和Intel FPGA区别

一天一个设计实例-Xilinx FPGA主流芯片选型

一天一个设计实例-Intel FPGA主流芯片选型

一天一个设计实例-Intel FPGA主流芯片命名规则

一天一个设计实例-Xilinx FPGA命名规则

一天一个设计实例-FPGA开源网站和论坛

一天一个设计实例-FPGA设计流程

一天一个设计实例-PLL、DLL、DCM区别及应用

一天一个设计实例-计数器设计

一天一个设计实例-门控时钟和使能时钟

一天一个设计实例-任意分频器设计

一天一个设计实例-FSM介绍

一天一个设计实例-爱上FPGA从流水灯开始

一天一个设计实例-FPGA和数码管

一天一个设计实例-IIC协议及FPGA实现

一天一个设计实例-SPI协议及FPGA实现

一天一个设计实例-3万字讲解UART和实例

一天一个设计实例-万字USB协议及FPGA+USB PHY通信实现

开源FPGA开发板-OpeniCE例程更新说明

FPGA开源工具链

基于开源FPGA-OpeniCE的NES游戏机

FPGA中的RAM、ROM和CAM;ROM、RAM、DRAM、SRAM、FLASH

SRAM的存储原理

一天一个设计实例-FPGA器件结构

一天一个设计实例-静态随机存储器 SRAM 的 Verilog HDL/VHDL语言描述

一天一个设计实例-RAM、ROM模块程序设计

一天一个设计实例-FIFO先进先出模块程序设计

一天一个设计实例-万字长文E2PROM接口电路、时序及应用程序设计

一天一个设计实例-实时时钟芯片DS1302的接口电路、时序及程序设计(一)

一天一个设计实例-实时时钟芯片DS1302的接口电路、时序及程序设计(二)

Github 上有哪些优秀的 VHDL/Verilog/FPGA 项目

一天一个设计实例-实时时钟芯片PCF8563的接口电路、时序及程序设计

一天一个设计实例-DCM时钟管理应用设计

一天一个设计实例-轻触开关的应用设计

一天一个设计实例-矩阵开关的应用

一天一个设计实例-PS/2键盘及鼠标的应用设计

一天一个设计实例-GPIO PWM应用

一天一个设计实例-LED显示模块设计

一天一个设计实例-LCD1602的应用设计

一天一个设计实例-LCD12864的应用设计

一天一个设计实例-VGA的应用设计驱动概念

点击上方字体就可以阅读哟

6093144b3f6dc624384f1964ed61bf89.gif

转了吗

7c0c8c2f564da5c5edfda9d29e30d6d0.gif

赞了吗

97a9c9924f541dc568b2328d20849a04.gif

在看吗

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值