阻塞(blocking)赋值与非阻塞(non-blocking)赋值

本文详细介绍了阻塞赋值与非阻塞赋值的区别。阻塞赋值在当前语句完成后执行下一条语句,而非阻塞赋值则允许下一条语句同时执行,但赋值在块结束时完成。不恰当的使用可能导致竞争冒险。遵循的规则是:在组合逻辑中使用阻塞赋值,时序逻辑中使用非阻塞赋值。
摘要由CSDN通过智能技术生成

阻塞赋值:当前语句没有执行完以前不会执行下一条语句,只有当当前语句中的“计算”完成之后,直接赋值,下一条语句才会执行;

reg x,y,z;
reg [15:0] reg_a,reg_b;
integer count;

initial
begin
        x = 0; y = 1; z = 1;
        count = 0;
        reg_a = 16'b0; reg_b = reg_a;

        #15 reg_a[2] = 1'b1;
        #10 reg_b[15:13] = {x,y,z}; 

        count = count + 1;
end

各条语句执行的顺序为:
(1)x = 0 到reg_b = reg_a之间的语句在0时刻执行;//可以认为执行这些语句所需的时间为0,但是在实际电路中有延迟,所以使用阻塞赋值时要考虑实际情况
(2)reg_a[2] = 0在时刻15执行;
(3)reg_b[15:13] = {x,y,z} 在时刻25执行;
(4)count = count + 1在时刻25执行。

非阻塞赋值:当前语句不会阻碍下一条语句的执行,但是只有块结束时才会赋值。

reg x,y,z;
reg [15:0] reg_a,reg_b;
integer count;

initial
begin
        x = 0; y = 1; z = 1;
        count = 0;
        reg_a = 16'b0; r
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值