【ASIC设计】Verilog 程序语法小知识(补漏篇)

Verilog小知识

  • 宏定义前面是加上 `
`define clock_period 20
  • always 多行可以不加begin和end
always@(posedge Clk50M or negedge Rst_n)
if(Rst_n == 1'b0)
	led <= 1'b1;
else if(cnt == 25'd24_999_999)
//else if(cnt == 25'd24_999)  //仅为测试
	led <= ~led;
else
	led <= led;
  • if/else多行必须加begin和end

  • repeate用法

repeat(30)begin
	Cin = 1'b1;
	#`clock_period;
	Cin = 1'b0;
	#(`clock_period*5);
end
  • 输入输出管它先定义为input 和 output,若后面再过程块(initial和always)中使用,在将具体的变量包括输入输出定义为reg!
output [7:0]out;//输出端口
reg [7:0]out;
  • testbench中仿真文件中的 input 对应设置为 reg 类型;output 对应设置为 wire 类型。

  • timescale 是仿真文件用的

`timescale 1ns/1ns //仿真时间单位1ns,精度1ns

程序易错记录

  • tb文件的moudule是直接模块名后面加分号的
module BCD_Couneter_tb;
  • 例化模块的时候,中间各个变量是逗号隔开,最后是一个变量后面什么也不加,例化完成后在括号后面加分号
BCD_Counter BCD_Counter0(
    .Clk(Clk),
    .Cin(Cin),
    .Rst_n(Rst_n),
    .Cout(Cout),
    .q(q)
);
  • endmodule后面没有分号
  • 2
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

ReCclay

如果觉得不错,不妨请我喝杯咖啡

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值