2021-05-09

Quartusll实验报告Verilog Modelsim仿真

1.实验目的:
利用Quartus ii软件和Modelsim软件进行图片仿真和代码仿真。

2.实验内容:
参照书上内容完成代码仿真。

3.实验原理:
代码

具体原理参照书上内容。

4.实验工具:
Modelsim软件和Quartusll软件
5.实验截图:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

6.实验视频:

请下载哔哩哔哩动画打开此网址:
https://b23.tv/S8RAZ4

7.实验代码:
module fulladd(sum,c_out,a,b,c_in);

output sum,c_out;

input a,b,c_in;

wire s1,c1,c2;

xor (s1,a,b);

and (c1,a,b);

xor (sum,s1,c_in);

and (c2,s1,c_in);

or (c_out,c2,c1);

endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值