序列检测器

此处用“11010”序列检测器来举例。

序列检测器就是将一个指定的序列从数字码流中检测出来,当输入端出现序列11010时,输出为1,否则输出为0。在此不考虑重复序列,即出现指定序列后就重新开始序列检测,不再考虑以前的数据。
该序列检测器的状态转移图如下图所示:

在这里插入图片描述
代码如下:

module seqdet(D_out, D_in, rst_n, clk);
	parameter IDLE = 3'b0, A = 3'b1, B = 3'b2, C = 3'b3, D = 3'b4, E = 3'b5;
	output D_out;
	input D_in, rst_n, clk;
	reg[2:0]state, next_state;
	wire D_out;
		assign D_out = (state == E)?1:0;
		always@(state or D_in)
			case(state)
				IDLE:if(D_in)
						next_state = A;
					 else
					 	next_state = IDLE;
				A:if(D_in)
					next_state = B;
				  else
				  	next_state = IDLE;
				B:if(D_in)
					next_state = B;
				  else
				  	next_state = C;
				C:if(D_in)
					next_state = D;
				  else
				  	next_state = IDLE;
				D:if(D_in)
					next_state = B;
				  else
				  	next_state = E;
				E:if(D_in)
					next_state = A;
				  else
				  	next_state = IDLE;
				default: next_state = IDLE;
			endcase
		always@(posedge clk)
			state <= next_state;
endmodule

可以看到,当到达E状态时,11010序列检测完成,同时D_out输出1。接下来若检测到1则转到A状态继续进行下一个序列的检测,若检测到0则返回IDLE状态等待。

评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值