嵌入式系统应用开发学习笔记(三)

本文介绍了如何安装QuartusII和ModelsimSE,详细步骤包括下载安装文件、激活软件以及配置license。接着,展示了在QuartusII中创建D触发器的工程,绘制电路原理图并进行编译。最后,通过ModelsimSE进行仿真,得到了D触发器的时序波形。
摘要由CSDN通过智能技术生成

一、安装Quartus II

1. 下载安装文件

在这里插入图片描述

解压rar,点击exe文件安装

在这里插入图片描述

在这里插入图片描述

安装完成
在这里插入图片描述

2.使用激活软件激活

将激活软件放在安装目录中的
…\quartus\bin64文件夹中
在这里插入图片描述

用激活软件保存license.dat文件
在这里插入图片描述

打开Quartus II,找到License setup,复制红框中的代码
在这里插入图片描述

粘贴在license.dat中

在这里插入图片描述

成功激活

在这里插入图片描述

二、用Quartus II制作D触发器,并仿真输出时序波形

新建工程,自定义路径和名称
在这里插入图片描述

选择芯片

在这里插入图片描述

完成创建

在这里插入图片描述

再新建一个波形文件
在这里插入图片描述

D触发器的电路原理图如下所示
在这里插入图片描述

根据需要添加元件
双击空白处,添加与非门nand、非门not
在这里插入图片描述

在这里插入图片描述

一共需要四个与非门、一个非门
在这里插入图片描述

添加输入和输出各2个
在这里插入图片描述

在这里插入图片描述

按照D触发器原理图,将以上元件连接好
在这里插入图片描述

保存并编译
在这里插入图片描述

编译后
在这里插入图片描述

在 “Tools” - “Netlist Viewers” - “RTL Viewer” 查看电路图
在这里插入图片描述

新建一个Ubiversity Program VWF文件来仿真
在这里插入图片描述

在弹出窗口中选择 “Edit” - “Insert” - “Insert Node or Bus…”,选择 “Node Finder…” 将4个元件全部选中并按“ >>”选择到右边,点击OK
在这里插入图片描述

选好后如下
在这里插入图片描述

选中CP波形,右键
在这里插入图片描述

设置
在这里插入图片描述

得到波形
在这里插入图片描述

同样的步骤,设置D波形
在这里插入图片描述

得到
在这里插入图片描述

三、安装Modelsim SE

下载安装包解压,打开安装程序
在这里插入图片描述

正常安装
在这里插入图片描述
安装完成需要重新启动电脑
具体的破解过程参考【FPGA——工具篇】:Modelsim SE-64 10.4下载、破解、安装过程

安装成功在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值