fpga中从有符号数、无符号数衍生

在设计中,所有的算数运算符都是按照无符号数进行的。如果要完成有符号数计算,对
于加、减操作通过补码处理即可用无符号加法完成。对于乘法操作,无符号数直接采用“*”
运算符,有符号数运算可通过定义输出为 signed 来处理。

通过“*”运算符完成有符号数的乘法运算。

module ceshi (out, clk, a, b);

 output   [15:0] out;
 input       clk;
    
    //通过 signed 关键字定义输入端口的数据类型为有符号数
 input  signed [7:0]  a;
 input  signed [7:0]  b;
 
    //通过 signed 关键字定义寄存器的数据类型为有符号数
 reg signed [7:0]  a_reg;
 reg signed [7:0]  b_reg;
 reg signed [15:0] out;
 
 wire  signed [15:0] mult_out; 
    //调用*运算符完成有符号数乘法
 assign mult_out = a_reg * b_reg;
 
 always@(posedge clk)
 begin
  a_reg <= a;
  b_reg <= b;
  out <= mult_out;
 end
 
endmodule

上述程序在 ISE 中的综合结果如图 4-5所示,从其 RTL 结构图可以看到乘法器标注为
“signed” ,为有符号数乘法器。

 

仿真结果图 

 

二进制显示的结果

 

 

 

 

 

 

 

 

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值