Verilog 基本电路5-38译码器

module DC_38(
	input  a,
	input  b,
	input  c,
	output reg [7:0]  led
	);
	always@(a,b,c)begin
		case({a,b,c})
			3'b000:led = 8'b0000_0001;
			3'b001:led = 8'b0000_0010;
			3'b010:led = 8'b0000_0100;
			3'b011:led = 8'b0000_1000;
			3'b100:led = 8'b0000_0001;
			3'b101:led = 8'b0000_0001;
			3'b110:led = 8'b0000_0001;
			3'b111:led = 8'b0000_0001;
		endcase
	end 
endmodule 
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值